Index of /mirror/packages/alpine/edge/testing/aarch64/


../
3proxy-0.9.4-r2.apk                                04-Apr-2025 05:40              344833
3proxy-doc-0.9.4-r2.apk                            04-Apr-2025 05:40               25278
3proxy-openrc-0.9.4-r2.apk                         04-Apr-2025 05:40                1671
66-0.8.2.1-r0.apk                                  03-Jun-2025 19:11              308010
66-dbg-0.8.2.1-r0.apk                              03-Jun-2025 19:11              774400
66-dev-0.8.2.1-r0.apk                              03-Jun-2025 19:11             1826268
66-doc-0.8.2.1-r0.apk                              03-Jun-2025 19:11              194939
66-init-0.8.2.1-r0.apk                             03-Jun-2025 19:11                1576
66-tools-0.1.2.0-r0.apk                            01-Jun-2025 15:50               70531
66-tools-dbg-0.1.2.0-r0.apk                        01-Jun-2025 15:50              112082
66-tools-dev-0.1.2.0-r0.apk                        01-Jun-2025 15:50                2051
66-tools-doc-0.1.2.0-r0.apk                        01-Jun-2025 15:50               40310
66-tools-nsrules-0.1.2.0-r0.apk                    01-Jun-2025 15:50                2921
APKINDEX.tar.gz                                    04-Oct-2025 07:13             1053872
a2jmidid-9-r3.apk                                  25-Oct-2024 19:08               28943
a2jmidid-doc-9-r3.apk                              25-Oct-2024 19:08                4285
ab-tidy-0.1.0-r2.apk                               23-Feb-2025 21:00              104554
abc-0_git20240102-r0.apk                           25-Oct-2024 19:08             5131357
abnfgen-0.21-r0.apk                                27-May-2025 21:41               18428
abnfgen-doc-0.21-r0.apk                            27-May-2025 21:41                5195
ace-of-penguins-1.4-r3.apk                         25-Oct-2024 19:08              186098
ace-of-penguins-doc-1.4-r3.apk                     25-Oct-2024 19:08               49750
acmetool-0.2.2-r16.apk                             06-Sep-2025 16:20             4505404
acmetool-doc-0.2.2-r16.apk                         06-Sep-2025 16:20               47785
adbd-0_git20250903-r0.apk                          22-Sep-2025 13:30               41634
adguardhome-0.107.66-r0.apk                        23-Sep-2025 21:13            10871583
adguardhome-openrc-0.107.66-r0.apk                 23-Sep-2025 21:13                2242
adjtimex-1.29-r0.apk                               25-Oct-2024 19:08               18928
adjtimex-doc-1.29-r0.apk                           25-Oct-2024 19:08                7257
admesh-0.98.5-r0.apk                               25-Oct-2024 19:08               27666
admesh-dev-0.98.5-r0.apk                           25-Oct-2024 19:08                4139
admesh-doc-0.98.5-r0.apk                           25-Oct-2024 19:08               23992
advancemame-3.9-r4.apk                             25-Oct-2024 19:08            13322734
advancemame-data-3.9-r4.apk                        25-Oct-2024 19:08             6057079
advancemame-doc-3.9-r4.apk                         25-Oct-2024 19:08              382538
advancemame-menu-3.9-r4.apk                        25-Oct-2024 19:08              857118
advancemame-mess-3.9-r4.apk                        25-Oct-2024 19:08             3825452
advancescan-1.18-r1.apk                            25-Oct-2024 19:08              266115
advancescan-doc-1.18-r1.apk                        25-Oct-2024 19:08                7440
afetch-2.2.0-r1.apk                                25-Oct-2024 19:08                9186
afetch-doc-2.2.0-r1.apk                            25-Oct-2024 19:08               13902
afew-3.0.1-r0.apk                                  18-May-2025 22:30               74892
afew-doc-3.0.1-r0.apk                              18-May-2025 22:30               12691
agate-3.3.19-r0.apk                                23-Sep-2025 21:13              867905
agate-openrc-3.3.19-r0.apk                         23-Sep-2025 21:13                2009
agrep-0.8.0-r2.apk                                 25-Oct-2024 19:08                8528
agrep-doc-0.8.0-r2.apk                             25-Oct-2024 19:08                4211
aide-0.19.2-r0.apk                                 06-Sep-2025 16:20               85529
aide-doc-0.19.2-r0.apk                             06-Sep-2025 16:20               15915
ait-1.12-r0.apk                                    08-Sep-2025 09:02               62876
ait-doc-1.12-r0.apk                                08-Sep-2025 09:02               20892
alarmwakeup-0.2.1-r0.apk                           25-Oct-2024 19:08                6908
alarmwakeup-dbg-0.2.1-r0.apk                       25-Oct-2024 19:08               18684
alarmwakeup-dev-0.2.1-r0.apk                       25-Oct-2024 19:08                2616
alarmwakeup-libs-0.2.1-r0.apk                      25-Oct-2024 19:08                4682
alarmwakeup-utils-0.2.1-r0.apk                     25-Oct-2024 19:08                4338
alertmanager-irc-relay-0.5.1-r1.apk                06-Sep-2025 16:20             4790419
alertmanager-irc-relay-openrc-0.5.1-r1.apk         06-Sep-2025 16:20                2034
alpine-lift-0.2.0-r26.apk                          06-Sep-2025 16:20             3666660
alps-0_git20230807-r15.apk                         06-Sep-2025 16:20             5565817
alps-openrc-0_git20230807-r15.apk                  06-Sep-2025 16:20                2067
alttab-1.7.1-r0.apk                                25-Oct-2024 19:08               38857
alttab-doc-1.7.1-r0.apk                            25-Oct-2024 19:08               10550
amber-0.4.0_alpha-r0.apk                           18-Sep-2025 02:17              499117
amber-mpris-1.2.9-r0.apk                           22-Dec-2024 16:48              207592
amber-mpris-dev-1.2.9-r0.apk                       22-Dec-2024 16:48                6875
amdgpu-fan-0.1.0-r5.apk                            25-Oct-2024 19:08               14347
amdgpu-fan-pyc-0.1.0-r5.apk                        25-Oct-2024 19:08                9844
amdgpu_top-0.11.0-r0.apk                           03-Sep-2025 00:48             6898413
amdgpu_top-doc-0.11.0-r0.apk                       03-Sep-2025 00:48                4078
amiitool-2-r2.apk                                  25-Oct-2024 19:08                7476
ampy-1.1.0-r6.apk                                  26-Mar-2025 11:50               15782
ampy-doc-1.1.0-r6.apk                              26-Mar-2025 11:50                4194
ampy-pyc-1.1.0-r6.apk                              26-Mar-2025 11:50               19919
amule-2.3.3-r13.apk                                25-Oct-2024 19:08             4456033
amule-doc-2.3.3-r13.apk                            25-Oct-2024 19:08              288202
amule-lang-2.3.3-r13.apk                           25-Oct-2024 19:08             1644041
anarch-1.0-r1.apk                                  25-Oct-2024 19:08               93439
anarch-doc-1.0-r1.apk                              25-Oct-2024 19:08               18409
anari-sdk-0.7.2-r0.apk                             25-Oct-2024 19:08              293486
anari-sdk-dev-0.7.2-r0.apk                         25-Oct-2024 19:08               60002
anari-sdk-static-0.7.2-r0.apk                      25-Oct-2024 19:08              191976
android-apkeep-0.17.0-r0.apk                       25-Oct-2024 19:08             1977758
android-apktool-2.12.0-r0.apk                      06-Jul-2025 14:14            25653822
android-build-tools-15.0-r0.apk                    04-Aug-2025 13:38             1825177
android-file-transfer-4.5-r0.apk                   24-Jun-2025 23:02              198795
android-file-transfer-cli-4.5-r0.apk               24-Jun-2025 23:02              112431
android-file-transfer-dev-4.5-r0.apk               24-Jun-2025 23:02                1606
android-file-transfer-libs-4.5-r0.apk              24-Jun-2025 23:02              131439
android-translation-layer-0_git20250914-r1.apk     27-Sep-2025 13:54             2886258
android-translation-layer-dbg-0_git20250914-r1.apk 27-Sep-2025 13:54              902260
angband-4.2.5-r0.apk                               25-Oct-2024 19:08            23685008
ansible-bender-0.10.1-r2.apk                       25-Oct-2024 19:08               37168
ansible-bender-doc-0.10.1-r2.apk                   25-Oct-2024 19:08               10334
ansible-bender-pyc-0.10.1-r2.apk                   25-Oct-2024 19:08               66570
ansiweather-1.19.0-r1.apk                          25-Oct-2024 19:08                4833
ansiweather-doc-1.19.0-r1.apk                      25-Oct-2024 19:08                3095
antibody-6.1.1-r31.apk                             06-Sep-2025 16:20             1815737
antimicrox-3.5.1-r0.apk                            13-Jun-2025 15:19             1642754
antimicrox-doc-3.5.1-r0.apk                        13-Jun-2025 15:19               24420
anubis-1.22.0-r1.apk                               09-Sep-2025 08:41             8848317
anubis-doc-1.22.0-r1.apk                           09-Sep-2025 08:41                2317
anubis-openrc-1.22.0-r1.apk                        09-Sep-2025 08:41                1895
aoetools-37-r2.apk                                 14-Jan-2025 15:34               26060
aoetools-doc-37-r2.apk                             14-Jan-2025 15:34               14080
apache-mod-auth-gssapi-1.6.5-r1.apk                25-Oct-2024 19:08               61841
apache-mod-auth-openidc-2.4.16.11-r1.apk           22-May-2025 06:53              226159
apache-mod-auth-openidc-doc-2.4.16.11-r1.apk       22-May-2025 06:53                4553
apache-mod-auth-openidc-static-2.4.16.11-r1.apk    22-May-2025 06:53              322688
apache2-mod-authnz-external-3.3.3-r0.apk           25-Oct-2024 19:08                8114
apache2-mod-authnz-external-doc-3.3.3-r0.apk       25-Oct-2024 19:08               10261
apache2-mod-maxminddb-1.2.0-r0.apk                 19-May-2025 10:23               11674
apache2-mod-perl-2.0.13-r2.apk                     30-Jun-2025 07:25              749229
apache2-mod-perl-dbg-2.0.13-r2.apk                 30-Jun-2025 07:25               72798
apache2-mod-perl-dev-2.0.13-r2.apk                 30-Jun-2025 07:25               38899
apache2-mod-perl-doc-2.0.13-r2.apk                 30-Jun-2025 07:25              311301
apache2-mod-realdoc-1-r1.apk                       25-Oct-2024 19:08                4687
apk-autoupdate-0_git20210421-r1.apk                20-Nov-2024 00:45               14525
apk-autoupdate-doc-0_git20210421-r1.apk            20-Nov-2024 00:45                7123
apk-snap-3.1.1-r0.apk                              25-Oct-2024 19:08                6804
apk-snap-doc-3.1.1-r0.apk                          25-Oct-2024 19:08               20426
apmpkg-1.5.1-r3.apk                                25-Oct-2024 19:08             1694676
apmpkg-bash-completion-1.5.1-r3.apk                25-Oct-2024 19:08                2240
apmpkg-doc-1.5.1-r3.apk                            25-Oct-2024 19:08                3125
apmpkg-fish-completion-1.5.1-r3.apk                25-Oct-2024 19:08                2115
apmpkg-zsh-completion-1.5.1-r3.apk                 25-Oct-2024 19:08                2505
aports-glmr-0.2-r31.apk                            06-Sep-2025 16:20             2571037
apostrophe-3.4-r0.apk                              30-Sep-2025 09:36              157336
apostrophe-lang-3.4-r0.apk                         30-Sep-2025 09:36              232270
apostrophe-pyc-3.4-r0.apk                          30-Sep-2025 09:36              157045
apostrophe-revealjs-5.2.1-r0.apk                   03-Jul-2025 10:58             2552903
appcenter-8.0.0-r0.apk                             12-Nov-2024 21:56              408991
appcenter-lang-8.0.0-r0.apk                        12-Nov-2024 21:56              264505
aprilsh-0.7.12-r8.apk                              06-Sep-2025 16:20                1680
aprilsh-client-0.7.12-r8.apk                       06-Sep-2025 16:20             3230365
aprilsh-doc-0.7.12-r8.apk                          06-Sep-2025 16:20               14745
aprilsh-openrc-0.7.12-r8.apk                       06-Sep-2025 16:20                1873
aprilsh-server-0.7.12-r8.apk                       06-Sep-2025 16:20             2472155
apt-dater-1.0.4-r4.apk                             25-Oct-2024 19:08               58666
apt-dater-doc-1.0.4-r4.apk                         25-Oct-2024 19:08               10111
apt-dater-lang-1.0.4-r4.apk                        25-Oct-2024 19:08               13447
apt-mirror-0.5.4-r0.apk                            25-Oct-2024 19:08                9670
apt-mirror-doc-0.5.4-r0.apk                        25-Oct-2024 19:08                4738
apt-swarm-0.5.1-r0.apk                             26-May-2025 10:55             2972453
apt-swarm-bash-completion-0.5.1-r0.apk             26-May-2025 10:55                4293
apt-swarm-fish-completion-0.5.1-r0.apk             26-May-2025 10:55                5882
apt-swarm-openrc-0.5.1-r0.apk                      26-May-2025 10:55                1971
apt-swarm-zsh-completion-0.5.1-r0.apk              26-May-2025 10:55                6023
aptdec-1.8.0-r1.apk                                08-Feb-2025 23:46               87497
aptdec-dev-1.8.0-r1.apk                            08-Feb-2025 23:46                3509
aptdec-libs-1.8.0-r1.apk                           08-Feb-2025 23:46               15616
apulse-0.1.14-r0.apk                               06-Sep-2025 16:20               44293
apulse-doc-0.1.14-r0.apk                           06-Sep-2025 16:20                3016
apx-2.4.5-r3.apk                                   06-Sep-2025 16:20             3507832
apx-doc-2.4.5-r3.apk                               06-Sep-2025 16:20                2459
aqemu-0.9.4-r3.apk                                 25-Oct-2024 19:08             1669855
aqemu-doc-0.9.4-r3.apk                             25-Oct-2024 19:08                7695
aravis-0.8.31-r0.apk                               25-Oct-2024 19:08               50217
aravis-dev-0.8.31-r0.apk                           25-Oct-2024 19:08               35165
aravis-libs-0.8.31-r0.apk                          25-Oct-2024 19:08              185862
aravis-viewer-0.8.31-r0.apk                        25-Oct-2024 19:08               68731
aravis-viewer-lang-0.8.31-r0.apk                   25-Oct-2024 19:08               16377
arc-20221218-r0.apk                                25-Oct-2024 19:08                1788
arc-cinnamon-20221218-r0.apk                       25-Oct-2024 19:08               69669
arc-dark-20221218-r0.apk                           25-Oct-2024 19:08                1791
arc-dark-cinnamon-20221218-r0.apk                  25-Oct-2024 19:08               70070
arc-dark-gnome-20221218-r0.apk                     25-Oct-2024 19:08               27726
arc-dark-gtk2-20221218-r0.apk                      25-Oct-2024 19:08               39319
arc-dark-gtk3-20221218-r0.apk                      25-Oct-2024 19:08               95509
arc-dark-gtk4-20221218-r0.apk                      25-Oct-2024 19:08               88308
arc-dark-metacity-20221218-r0.apk                  25-Oct-2024 19:08               17888
arc-dark-xfwm-20221218-r0.apk                      25-Oct-2024 19:08                8062
arc-darker-20221218-r0.apk                         25-Oct-2024 19:08                1806
arc-darker-gtk2-20221218-r0.apk                    25-Oct-2024 19:08               39427
arc-darker-gtk3-20221218-r0.apk                    25-Oct-2024 19:08              126715
arc-darker-gtk4-20221218-r0.apk                    25-Oct-2024 19:08              112795
arc-darker-metacity-20221218-r0.apk                25-Oct-2024 19:08               17905
arc-darker-xfwm-20221218-r0.apk                    25-Oct-2024 19:08                8056
arc-gnome-20221218-r0.apk                          25-Oct-2024 19:08               29329
arc-gtk2-20221218-r0.apk                           25-Oct-2024 19:08               38430
arc-gtk3-20221218-r0.apk                           25-Oct-2024 19:08              128894
arc-gtk4-20221218-r0.apk                           25-Oct-2024 19:08              116241
arc-icon-theme-20161122-r0.apk                     25-Oct-2024 19:08             4571310
arc-lighter-20221218-r0.apk                        25-Oct-2024 19:08                1804
arc-lighter-gtk2-20221218-r0.apk                   25-Oct-2024 19:08               38439
arc-lighter-gtk3-20221218-r0.apk                   25-Oct-2024 19:08              127567
arc-lighter-gtk4-20221218-r0.apk                   25-Oct-2024 19:08              115524
arc-lighter-metacity-20221218-r0.apk               25-Oct-2024 19:08               17702
arc-lighter-xfwm-20221218-r0.apk                   25-Oct-2024 19:08                7901
arc-metacity-20221218-r0.apk                       25-Oct-2024 19:08               17658
arc-theme-20221218-r0.apk                          25-Oct-2024 19:08                1479
arc-xfwm-20221218-r0.apk                           25-Oct-2024 19:08                7878
arduino-cli-1.3.1-r0.apk                           06-Sep-2025 16:20             8646302
argocd-3.1.4-r0.apk                                07-Sep-2025 00:14            42106524
argocd-bash-completion-3.1.4-r0.apk                07-Sep-2025 00:14               22004
argocd-doc-3.1.4-r0.apk                            07-Sep-2025 00:14                5636
argocd-zsh-completion-3.1.4-r0.apk                 07-Sep-2025 00:14                4136
arj-0_git20220125-r1.apk                           25-Oct-2024 19:08              140222
arj-doc-0_git20220125-r1.apk                       25-Oct-2024 19:08               10710
armagetronad-0.2.9.1.1-r0.apk                      25-Oct-2024 19:08             1651447
armagetronad-doc-0.2.9.1.1-r0.apk                  25-Oct-2024 19:08               94167
art_standalone-0_git20250903-r0.apk                22-Sep-2025 13:30            19196495
art_standalone-dbg-0_git20250903-r0.apk            22-Sep-2025 13:30           145594618
art_standalone-dev-0_git20250903-r0.apk            22-Sep-2025 13:30             9035251
arturo-0.9.84_alpha3392-r0.apk                     01-Oct-2025 06:02             1759850
arturo-doc-0.9.84_alpha3392-r0.apk                 01-Oct-2025 06:02             1561904
arturo-full-0.9.84_alpha3392-r0.apk                01-Oct-2025 06:02             2154794
asahi-fwextract-0.7.1-r0.apk                       25-Oct-2024 19:08               56179
asahi-scripts-20240822-r0.apk                      25-Oct-2024 19:08                8239
asdf-0.18.0-r3.apk                                 06-Sep-2025 16:20             1647563
asdf-doc-0.18.0-r3.apk                             06-Sep-2025 16:20                2295
aspell-es-1.11-r0.apk                              25-Oct-2024 19:08              545808
aspnetcore6-runtime-6.0.36-r1.apk                  25-Nov-2024 04:51             8746549
aspnetcore6-targeting-pack-6.0.36-r1.apk           25-Nov-2024 04:51             2080810
asymptote-3.04-r0.apk                              31-May-2025 06:51             1417134
asymptote-doc-3.04-r0.apk                          31-May-2025 06:51             3288900
atac-0.18.1-r0.apk                                 25-Nov-2024 21:57             4798402
atlantik-3.5.10_git20240323-r0.apk                 25-Oct-2024 19:08              400010
atlantik-doc-3.5.10_git20240323-r0.apk             25-Oct-2024 19:08               81212
atlantik-lang-3.5.10_git20240323-r0.apk            25-Oct-2024 19:08               70280
atomicparsley-20240608-r0.apk                      25-Oct-2024 19:08              122130
atool-0.39.0-r4.apk                                25-Oct-2024 19:08               18148
atool-bash-completion-0.39.0-r4.apk                25-Oct-2024 19:08                2089
atool-doc-0.39.0-r4.apk                            25-Oct-2024 19:08                9830
aufs-util-20161219-r3.apk                          25-Oct-2024 19:08              229520
aufs-util-dev-20161219-r3.apk                      25-Oct-2024 19:08                1494
aufs-util-doc-20161219-r3.apk                      25-Oct-2024 19:08               34711
authenticator-rs-0.8.6-r0.apk                      01-Sep-2025 18:29             2140029
authenticator-rs-lang-0.8.6-r0.apk                 01-Sep-2025 18:29                4160
autoconf-policy-0.1-r0.apk                         25-Oct-2024 19:08                5624
autoremove-torrents-1.5.5-r0.apk                   25-Oct-2024 19:08               36284
autoremove-torrents-doc-1.5.5-r0.apk               25-Oct-2024 19:08               11855
autoremove-torrents-pyc-1.5.5-r0.apk               25-Oct-2024 19:08               55078
autorestic-1.8.3-r8.apk                            06-Sep-2025 16:20             3750378
autoscan-1.4.0-r14.apk                             06-Sep-2025 16:20             5347294
autoscan-openrc-1.4.0-r14.apk                      06-Sep-2025 16:20                2101
autotrash-0.4.7-r0.apk                             25-Oct-2024 19:08               23360
autotrash-pyc-0.4.7-r0.apk                         25-Oct-2024 19:08               14396
avahi2dns-0.1.0-r4.apk                             06-Sep-2025 16:20             2446101
avahi2dns-openrc-0.1.0-r4.apk                      06-Sep-2025 16:20                1846
avara-0.7.1-r1.apk                                 04-Nov-2024 08:52            22430352
avarice-2.14-r4.apk                                25-Oct-2024 19:08               68118
avarice-doc-2.14-r4.apk                            25-Oct-2024 19:08                9673
avra-1.4.2-r0.apk                                  25-Oct-2024 19:08               41383
avra-dev-1.4.2-r0.apk                              25-Oct-2024 19:08              260850
aws-lc-1.61.4-r0.apk                               27-Sep-2025 05:56             1421473
aws-lc-dev-1.61.4-r0.apk                           27-Sep-2025 05:56              429066
aws-lc-tools-1.61.4-r0.apk                         27-Sep-2025 05:56              142804
azote-1.14.0-r0.apk                                14-Dec-2024 20:38             7979175
azote-pyc-1.14.0-r0.apk                            14-Dec-2024 20:38              100361
azpainter-3.0.11-r0.apk                            22-Feb-2025 16:07              805269
azpainter-doc-3.0.11-r0.apk                        22-Feb-2025 16:07               42904
azure-iot-sdk-c-static-1.11.0-r0.apk               25-Oct-2024 19:08              823576
b2-tools-4.3.2-r0.apk                              03-May-2025 20:02               74222
b2-tools-pyc-4.3.2-r0.apk                          03-May-2025 20:02              139143
b2sum-20190729-r2.apk                              25-Oct-2024 19:08               14875
b2sum-doc-20190729-r2.apk                          25-Oct-2024 19:08                2797
backup-manager-0.7.15-r1.apk                       25-Oct-2024 19:08               56640
bacon-3.18.0-r0.apk                                30-Sep-2025 17:38             1776110
baikal-0.10.1-r1.apk                               27-May-2025 21:41             1311147
baikal-mysql-0.10.1-r1.apk                         27-May-2025 21:41                1322
baikal-pgsql-0.10.1-r1.apk                         27-May-2025 21:41                1320
baikal-sqlite-0.10.1-r1.apk                        27-May-2025 21:41                1472
bake-2.5.1-r0.apk                                  25-Oct-2024 19:08              112266
bakelite-0.4.2-r0.apk                              25-Oct-2024 19:08               33962
bananui-2.0.0-r0.apk                               25-Oct-2024 19:08               57101
bananui-clock-0.1.0-r0.apk                         25-Oct-2024 19:08                7568
bananui-daemons-0.1.0-r0.apk                       25-Oct-2024 19:08               46430
bananui-dbg-2.0.0-r0.apk                           25-Oct-2024 19:08              176380
bananui-demos-2.0.0-r0.apk                         25-Oct-2024 19:08               10796
bananui-dev-2.0.0-r0.apk                           25-Oct-2024 19:08               90371
bananui-shell-0.2.0-r0.apk                         25-Oct-2024 19:08              109987
baresip-4.1.0-r0.apk                               03-Oct-2025 07:59             1175266
baresip-dev-4.1.0-r0.apk                           03-Oct-2025 07:59               16392
barman-3.15.0-r0.apk                               12-Aug-2025 08:37              383166
barman-bash-completion-3.15.0-r0.apk               12-Aug-2025 08:37                1675
barman-doc-3.15.0-r0.apk                           12-Aug-2025 08:37               88120
barman-pyc-3.15.0-r0.apk                           12-Aug-2025 08:37              603424
barnyard2-2.1.14_git20160413-r1.apk                25-Oct-2024 19:08              127973
barnyard2-openrc-2.1.14_git20160413-r1.apk         25-Oct-2024 19:08                2824
barrier-2.4.0-r2.apk                               17-Feb-2025 15:07              962333
barrier-doc-2.4.0-r2.apk                           17-Feb-2025 15:07               13303
bartib-1.0.1-r1.apk                                25-Oct-2024 19:08              346181
base64c-0.2.1-r0.apk                               25-Oct-2024 19:08                4640
base64c-dev-0.2.1-r0.apk                           25-Oct-2024 19:08                5494
bash-pinyin-completion-rs-0.3.2-r0.apk             29-Sep-2025 12:19              300030
bash-pinyin-completion-rs-doc-0.3.2-r0.apk         29-Sep-2025 12:19               13904
batmon-0.0.1-r0.apk                                25-Oct-2024 19:08              431704
battery-limit-openrc-1-r0.apk                      17-Jun-2025 11:01                1883
bazel7-7.6.1-r1.apk                                18-Apr-2025 04:55            30543811
bazel7-bash-completion-7.6.1-r1.apk                18-Apr-2025 04:55               49131
bazel8-8.4.1-r0.apk                                15-Sep-2025 22:22            33375334
bazel8-bash-completion-8.4.1-r0.apk                15-Sep-2025 22:22               66951
bcg729-1.1.1-r0.apk                                25-Oct-2024 19:08               34876
bcg729-dev-1.1.1-r0.apk                            25-Oct-2024 19:08                3550
bchunk-1.2.2-r3.apk                                25-Oct-2024 19:08                7427
bchunk-doc-1.2.2-r3.apk                            25-Oct-2024 19:08                3065
bdfr-2.6.2-r1.apk                                  25-Oct-2024 19:08              134074
beancount-language-server-1.4.1-r0.apk             03-Aug-2025 10:41             1376761
beard-0.4-r0.apk                                   25-Oct-2024 19:08                3217
beard-doc-0.4-r0.apk                               25-Oct-2024 19:08                2534
bees-0.10-r2.apk                                   25-Oct-2024 19:08              282924
bees-openrc-0.10-r2.apk                            25-Oct-2024 19:08                1993
belcard-5.3.105-r0.apk                             25-Feb-2025 12:48               16375
belcard-dev-5.3.105-r0.apk                         25-Feb-2025 12:48               11726
belcard-libs-5.3.105-r0.apk                        25-Feb-2025 12:48              209328
belle-sip-5.3.105-r0.apk                           25-Feb-2025 12:52              598339
belle-sip-dev-5.3.105-r0.apk                       25-Feb-2025 12:52               55170
belr-5.3.105-r0.apk                                25-Feb-2025 12:52              112362
belr-dev-5.3.105-r0.apk                            25-Feb-2025 12:52               14881
berry-lang-1.1.0-r0.apk                            25-Oct-2024 19:08              113393
bestline-0.0_git20211108-r0.apk                    25-Oct-2024 19:08               21270
bestline-dev-0.0_git20211108-r0.apk                25-Oct-2024 19:08                1718
bestline-doc-0.0_git20211108-r0.apk                25-Oct-2024 19:08            18463002
beszel-0.12.12-r0.apk                              27-Sep-2025 19:15            11140836
beszel-agent-0.12.12-r0.apk                        27-Sep-2025 19:15             3333158
beszel-agent-openrc-0.12.12-r0.apk                 27-Sep-2025 19:15                2056
beszel-openrc-0.12.12-r0.apk                       27-Sep-2025 19:15                2146
bettercap-2.41.4-r1.apk                            06-Sep-2025 16:20            19702816
bettercap-doc-2.41.4-r1.apk                        06-Sep-2025 16:20               13917
bgpq4-1.15-r0.apk                                  25-Oct-2024 19:08               34408
bgpq4-doc-1.15-r0.apk                              25-Oct-2024 19:08                6494
bgs-0.8-r1.apk                                     25-Oct-2024 19:08                5688
bgs-doc-0.8-r1.apk                                 25-Oct-2024 19:08                2356
biboumi-9.0-r8.apk                                 29-May-2025 10:14              270358
biboumi-doc-9.0-r8.apk                             29-May-2025 10:14                1512
biboumi-openrc-9.0-r8.apk                          29-May-2025 10:14                1939
bindfs-1.17.7-r1.apk                               19-Jun-2025 08:44               21775
bindfs-doc-1.17.7-r1.apk                           19-Jun-2025 08:44                9271
binwalk-3.1.0-r0.apk                               07-Feb-2025 15:46              994742
biome-2.1.4-r0.apk                                 08-Aug-2025 13:47             6701230
biometryd-0.3.2-r1.apk                             02-Oct-2025 14:07              316525
biometryd-dev-0.3.2-r1.apk                         02-Oct-2025 14:07               13290
bionic_translation-0_git20250918-r0.apk            22-Sep-2025 13:30               55676
bionic_translation-dbg-0_git20250918-r0.apk        22-Sep-2025 13:30              146192
bionic_translation-dev-0_git20250918-r0.apk        22-Sep-2025 13:30                1802
birdtray-1.9.0-r1.apk                              25-Oct-2024 19:08              412709
bitlbee-facebook-1.2.2-r0.apk                      25-Oct-2024 19:08               59547
bitlbee-mastodon-1.4.5-r0.apk                      25-Oct-2024 19:08               46456
bitritter-0.1.1-r0.apk                             25-Oct-2024 19:08             2311741
bkt-0.8.0-r0.apk                                   25-Oct-2024 19:08              351539
bkt-doc-0.8.0-r0.apk                               25-Oct-2024 19:08                7431
blackbox-1.20220610-r1.apk                         25-Oct-2024 19:08               16083
blip-0.10-r0.apk                                   25-Oct-2024 19:08               15678
blip-doc-0.10-r0.apk                               25-Oct-2024 19:08               31685
bliss-0.77-r1.apk                                  25-Oct-2024 19:08               67062
bliss-dev-0.77-r1.apk                              25-Oct-2024 19:08              109435
boa-cli-0.20-r0.apk                                05-Dec-2024 22:08             7258967
bochs-2.8-r1.apk                                   22-Feb-2025 15:37              915207
bochs-doc-2.8-r1.apk                               22-Feb-2025 15:37              142334
boinc-7.24.3-r0.apk                                25-Oct-2024 19:08             1598096
boinc-dev-7.24.3-r0.apk                            25-Oct-2024 19:08              604865
boinc-doc-7.24.3-r0.apk                            25-Oct-2024 19:08                8184
boinc-gui-7.24.3-r0.apk                            25-Oct-2024 19:08             1015278
boinc-lang-7.24.3-r0.apk                           25-Oct-2024 19:08              897997
boinc-libs-7.24.3-r0.apk                           25-Oct-2024 19:08              203635
boinc-screensaver-7.24.3-r0.apk                    25-Oct-2024 19:08              125453
bomctl-0.1.9-r9.apk                                06-Sep-2025 16:20             9211767
bomctl-bash-completion-0.1.9-r9.apk                06-Sep-2025 16:20                5256
bomctl-fish-completion-0.1.9-r9.apk                06-Sep-2025 16:20                4446
bomctl-zsh-completion-0.1.9-r9.apk                 06-Sep-2025 16:20                4157
bonzomatic-20230615-r0.apk                         25-Oct-2024 19:08              657145
bootchart2-0.14.9-r0.apk                           03-Jan-2025 10:13              140294
bootinfo-0.1.0-r4.apk                              25-Oct-2024 19:08               19897
bootinfo-pyc-0.1.0-r4.apk                          25-Oct-2024 19:08                8443
bootloose-0.7.1-r14.apk                            06-Sep-2025 16:20             2201143
bootterm-0.5-r0.apk                                25-Oct-2024 19:08               19282
bootterm-dbg-0.5-r0.apk                            25-Oct-2024 19:08                2341
bore-0.5.2-r0.apk                                  15-Dec-2024 19:25              516373
boson-0_git20211219-r0.apk                         25-Oct-2024 19:08               17889
botan2-2.19.5-r0.apk                               29-May-2025 10:14              405784
botan2-dev-2.19.5-r0.apk                           29-May-2025 10:14              318346
botan2-doc-2.19.5-r0.apk                           29-May-2025 10:14              313572
botan2-libs-2.19.5-r0.apk                          29-May-2025 10:14             2636189
boxes-2.3.1-r0.apk                                 25-Oct-2024 19:08               79141
boxes-doc-2.3.1-r0.apk                             25-Oct-2024 19:08                7285
brial-1.2.11-r4.apk                                25-Oct-2024 19:08             1078551
brial-dev-1.2.11-r4.apk                            25-Oct-2024 19:08             1731267
brltty-6.7-r1.apk                                  02-Apr-2025 17:03             2320961
brltty-dev-6.7-r1.apk                              02-Apr-2025 17:03              143447
brltty-doc-6.7-r1.apk                              02-Apr-2025 17:03                9614
brltty-lang-6.7-r1.apk                             02-Apr-2025 17:03              152257
brltty-static-6.7-r1.apk                           02-Apr-2025 17:03               25417
btcd-0.24.0-r11.apk                                06-Sep-2025 16:20            15460824
btfs-2.24-r12.apk                                  25-Oct-2024 19:08               32874
btfs-doc-2.24-r12.apk                              25-Oct-2024 19:08                2446
btpd-0.16-r2.apk                                   25-Oct-2024 19:08               79651
btpd-doc-0.16-r2.apk                               25-Oct-2024 19:08                8597
buf-1.56.0-r2.apk                                  06-Sep-2025 16:20            13840753
buf-bash-completion-1.56.0-r2.apk                  06-Sep-2025 16:20                8822
buf-fish-completion-1.56.0-r2.apk                  06-Sep-2025 16:20                4407
buf-protoc-plugins-1.56.0-r2.apk                   06-Sep-2025 16:20            14413643
buf-zsh-completion-1.56.0-r2.apk                   06-Sep-2025 16:20                4122
build-next-22.0.0_pre20250926-r0.apk               27-Sep-2025 11:40                1633
build-next-bfd-22.0.0_pre20250926-r0.apk           27-Sep-2025 11:40              869942
build-next-gas-22.0.0_pre20250926-r0.apk           27-Sep-2025 11:40              904530
build-next-overlay-22.0.0_pre20250926-r0.apk       27-Sep-2025 11:40                3006
buildbot-4.3.0-r0.apk                              25-Aug-2025 13:20              753517
buildbot-badges-4.3.0-r0.apk                       25-Aug-2025 13:20                9543
buildbot-console-view-4.3.0-r0.apk                 25-Aug-2025 13:20               23983
buildbot-grid-view-4.3.0-r0.apk                    25-Aug-2025 13:20               11599
buildbot-pyc-4.3.0-r0.apk                          25-Aug-2025 13:20             1568356
buildbot-waterfall-view-4.3.0-r0.apk               25-Aug-2025 13:20               34800
buildbot-worker-4.3.0-r0.apk                       25-Aug-2025 13:20              195962
buildbot-wsgi-dashboards-4.3.0-r0.apk              25-Aug-2025 13:20              436044
buildbot-www-4.3.0-r0.apk                          25-Aug-2025 13:20              432264
buildcache-0.28.9-r0.apk                           25-Oct-2024 19:08              765002
bump2version-1.0.1-r6.apk                          25-Oct-2024 19:08               21351
bump2version-pyc-1.0.1-r6.apk                      25-Oct-2024 19:08               29806
burp-3.1.4-r0.apk                                  25-Oct-2024 19:08              171895
burp-doc-3.1.4-r0.apk                              25-Oct-2024 19:08              101795
burp-server-3.1.4-r0.apk                           25-Oct-2024 19:08               37475
butane-0.25.1-r0.apk                               26-Sep-2025 12:14             3136627
bwrap-oci-0.2-r1.apk                               25-Oct-2024 19:08               15604
bwrap-oci-doc-0.2-r1.apk                           25-Oct-2024 19:08                2545
bzmenu-0.2.1-r3.apk                                10-Aug-2025 01:01             1062883
cadence-0.9.2-r0.apk                               25-Oct-2024 19:08             1977401
caffeine-ng-4.2.0-r1.apk                           25-Oct-2024 19:08              102812
caffeine-ng-doc-4.2.0-r1.apk                       25-Oct-2024 19:08                3236
caffeine-ng-lang-4.2.0-r1.apk                      25-Oct-2024 19:08               35183
caja-gtkhash-plugin-1.5-r0.apk                     25-Oct-2024 19:08               25262
calibre-8.7.0-r3.apk                               27-Sep-2025 13:54            42546401
calibre-bash-completion-8.7.0-r3.apk               27-Sep-2025 13:54                5426
calibre-doc-8.7.0-r3.apk                           27-Sep-2025 13:54             2035544
calibre-pyc-8.7.0-r3.apk                           27-Sep-2025 13:54                2661
calibre-zsh-completion-8.7.0-r3.apk                27-Sep-2025 13:54               46181
capnet-assist-8.0.0-r0.apk                         14-Apr-2025 10:10               45102
capnet-assist-lang-8.0.0-r0.apk                    14-Apr-2025 10:10               38138
caprine-2.60.3-r5.apk                              23-Sep-2025 03:32            17131515
caps2esc-0.3.2-r0.apk                              25-Oct-2024 19:08                4918
captive-browser-0_git20210801-r0.apk               27-Sep-2025 22:36             1240977
captive-browser-doc-0_git20210801-r0.apk           27-Sep-2025 22:36                3763
care-2.3.0-r1.apk                                  25-Oct-2024 19:08               95522
care-doc-2.3.0-r1.apk                              25-Oct-2024 19:08                8072
cargo-crev-0.26.3-r0.apk                           02-Mar-2025 16:12             6023267
cargo-geiger-0.12.0-r0.apk                         26-May-2025 11:08             5159737
cargo-geiger-doc-0.12.0-r0.apk                     26-May-2025 11:08                7860
cargo-generate-0.23.4-r0.apk                       03-Aug-2025 10:45             2254832
cargo-insta-1.43.2-r0.apk                          28-Sep-2025 11:21             1065125
cargo-insta-doc-1.43.2-r0.apk                      28-Sep-2025 11:21                5633
cargo-leptos-0.2.45-r0.apk                         03-Oct-2025 18:12             8516940
cargo-leptos-doc-0.2.45-r0.apk                     03-Oct-2025 18:12                2280
cargo-machete-0.9.1-r0.apk                         18-Aug-2025 00:38             1247524
cargo-machete-doc-0.9.1-r0.apk                     18-Aug-2025 00:38                4464
cargo-run-bin-1.7.2-r0.apk                         25-Oct-2024 19:08              434885
cargo-run-bin-doc-1.7.2-r0.apk                     25-Oct-2024 19:08                5187
cargo-seek-0.1.0-r0.apk                            02-Oct-2025 05:24             2117984
cargo-seek-doc-0.1.0-r0.apk                        02-Oct-2025 05:24                4024
cargo-show-asm-0.2.51-r0.apk                       14-Jul-2025 13:34              816893
cargo-show-asm-doc-0.2.51-r0.apk                   14-Jul-2025 13:34               10241
cargo-shuttle-0.56.6-r0.apk                        29-Jul-2025 07:56             4956236
cargo-shuttle-bash-completion-0.56.6-r0.apk        29-Jul-2025 07:56                5301
cargo-shuttle-doc-0.56.6-r0.apk                    29-Jul-2025 07:56                9210
cargo-shuttle-fish-completion-0.56.6-r0.apk        29-Jul-2025 07:56                9266
cargo-shuttle-zsh-completion-0.56.6-r0.apk         29-Jul-2025 07:56                8128
cargo-udeps-0.1.59-r0.apk                          03-Oct-2025 01:21             4666610
cargo-udeps-doc-0.1.59-r0.apk                      03-Oct-2025 01:21                7688
cargo-update-16.2.1-r0.apk                         26-Mar-2025 11:50             1070463
cargo-update-doc-16.2.1-r0.apk                     26-Mar-2025 11:50                8480
cargo-vendor-filterer-0.5.18-r0.apk                24-Jul-2025 17:29              606553
castero-0.9.5-r4.apk                               14-May-2025 18:17               51687
castero-pyc-0.9.5-r4.apk                           14-May-2025 18:17               96229
castor-0.9.0-r2.apk                                25-Oct-2024 19:08              749085
cataclysm-dda-0h-r0.apk                            26-Mar-2025 11:50            20347661
cataclysm-dda-curses-0h-r0.apk                     26-Mar-2025 11:50            11717141
cataclysm-dda-doc-0h-r0.apk                        26-Mar-2025 11:50                4755
cataclysm-dda-lang-0h-r0.apk                       26-Mar-2025 11:50            39385968
cataclysm-dda-tiles-0h-r0.apk                      26-Mar-2025 11:50            50681711
catcodec-1.0.5-r2.apk                              25-Oct-2024 19:08               12435
catcodec-doc-1.0.5-r2.apk                          25-Oct-2024 19:08                5025
catdoc-0.95-r1.apk                                 25-Oct-2024 19:08              112878
catdoc-doc-0.95-r1.apk                             25-Oct-2024 19:08                9465
catfish-4.20.1-r0.apk                              07-Jul-2025 19:19              130856
catfish-doc-4.20.1-r0.apk                          07-Jul-2025 19:19               13597
catfish-lang-4.20.1-r0.apk                         07-Jul-2025 19:19              170666
catfish-pyc-4.20.1-r0.apk                          07-Jul-2025 19:19              106604
cava-0.10.6-r0.apk                                 12-Sep-2025 08:10               49793
cbqn-0.9.0-r0.apk                                  26-Mar-2025 11:50              768940
cc65-2.19-r0.apk                                   25-Oct-2024 19:08             9262604
ccrtp-2.1.2-r0.apk                                 25-Oct-2024 19:08               86662
ccrtp-dev-2.1.2-r0.apk                             25-Oct-2024 19:08               53977
ccrtp-doc-2.1.2-r0.apk                             25-Oct-2024 19:08               32015
ccze-0.2.1-r1.apk                                  25-Oct-2024 19:08               80579
ccze-dev-0.2.1-r1.apk                              25-Oct-2024 19:08                3400
ccze-doc-0.2.1-r1.apk                              25-Oct-2024 19:08                9047
cdba-1.0-r2.apk                                    25-Oct-2024 19:08                8249
cdba-server-1.0-r2.apk                             25-Oct-2024 19:08               22239
cddlib-0.94m-r2.apk                                25-Oct-2024 19:08              185718
cddlib-dev-0.94m-r2.apk                            25-Oct-2024 19:08               14429
cddlib-doc-0.94m-r2.apk                            25-Oct-2024 19:08              884461
cddlib-static-0.94m-r2.apk                         25-Oct-2024 19:08              264222
cddlib-tools-0.94m-r2.apk                          25-Oct-2024 19:08               96301
cdist-7.0.0-r6.apk                                 25-Oct-2024 19:08              523252
cdist-pyc-7.0.0-r6.apk                             25-Oct-2024 19:08              130642
cdogs-sdl-2.1.0-r0.apk                             25-Oct-2024 19:08            35030441
certbot-dns-njalla-2.0.0-r0.apk                    27-Nov-2024 23:05                9520
certbot-dns-njalla-pyc-2.0.0-r0.apk                27-Nov-2024 23:05                4311
certbot-dns-pdns-0.1.1-r1.apk                      28-Aug-2025 01:19                8815
certbot-dns-pdns-pyc-0.1.1-r1.apk                  28-Aug-2025 01:19                3967
certigo-1.16.0-r26.apk                             06-Sep-2025 16:20             3714218
certstrap-1.3.0-r27.apk                            06-Sep-2025 16:20             2284825
cfssl-1.6.5-r8.apk                                 06-Sep-2025 16:20            29179205
cgiirc-0.5.12-r1.apk                               25-Oct-2024 19:08              136306
cgo-0.6.1-r1.apk                                   25-Oct-2024 19:08               10485
cgo-doc-0.6.1-r1.apk                               25-Oct-2024 19:08                4213
chamo-4.0-r0.apk                                   25-Oct-2024 19:08             9246528
chamo-byte-4.0-r0.apk                              25-Oct-2024 19:08             1543580
chamo-dev-4.0-r0.apk                               25-Oct-2024 19:08             4428263
charls-2.4.2-r0.apk                                25-Oct-2024 19:08               66254
charls-dev-2.4.2-r0.apk                            25-Oct-2024 19:08               27246
charta-0.1.2-r0.apk                                18-Sep-2025 17:58             1092540
chasquid-1.16.0-r1.apk                             18-Sep-2025 03:14            11158737
chasquid-doc-1.16.0-r1.apk                         18-Sep-2025 03:14               11129
chasquid-openrc-1.16.0-r1.apk                      18-Sep-2025 03:14                2016
chawan-0.2.2-r0.apk                                23-Jul-2025 04:04             3865516
chawan-doc-0.2.2-r0.apk                            23-Jul-2025 04:04               52047
checkpolicy-3.6-r0.apk                             25-Oct-2024 19:08              365547
checkpolicy-doc-3.6-r0.apk                         25-Oct-2024 19:08                4265
cherrytree-1.4.0-r0.apk                            26-Mar-2025 17:40             2693270
cherrytree-doc-1.4.0-r0.apk                        26-Mar-2025 17:40                2152
cherrytree-lang-1.4.0-r0.apk                       26-Mar-2025 17:40              879166
chim-1.1.2-r1.apk                                  25-Oct-2024 19:08             1678956
chim-doc-1.1.2-r1.apk                              25-Oct-2024 19:08                2879
chimerautils-14.2.1-r0.apk                         25-May-2025 23:19             1391102
chimerautils-dbg-14.2.1-r0.apk                     25-May-2025 23:19             3201480
chocolate-doom-3.1.1-r0.apk                        19-Aug-2025 01:30             1708926
chocolate-doom-doc-3.1.1-r0.apk                    19-Aug-2025 01:30              238190
cilium-cli-0.16.13-r8.apk                          06-Sep-2025 16:20            55134394
cilium-cli-bash-completion-0.16.13-r8.apk          06-Sep-2025 16:20                5186
cilium-cli-fish-completion-0.16.13-r8.apk          06-Sep-2025 16:20                4430
cilium-cli-zsh-completion-0.16.13-r8.apk           06-Sep-2025 16:20                4142
cimg-3.4.1-r0.apk                                  25-Oct-2024 19:08              845798
cinny-web-4.8.1-r0.apk                             16-Jun-2025 15:47             5544605
circuslinux-1.0.3-r1.apk                           25-Oct-2024 19:08               20258
circuslinux-data-1.0.3-r1.apk                      25-Oct-2024 19:08             1181487
circuslinux-doc-1.0.3-r1.apk                       25-Oct-2024 19:08               18399
ckb-next-0.6.2-r1.apk                              06-Sep-2025 16:20             1423560
ckb-next-daemon-0.6.2-r1.apk                       06-Sep-2025 16:20               75154
ckb-next-daemon-openrc-0.6.2-r1.apk                06-Sep-2025 16:20                1882
ckb-next-dev-0.6.2-r1.apk                          06-Sep-2025 16:20                5050
clang-next-22.0.0_pre20250926-r0.apk               27-Sep-2025 11:40             9385265
clang-next-ccache-22.0.0_pre20250926-r0.apk        27-Sep-2025 11:40                1702
clang-next-dev-22.0.0_pre20250926-r0.apk           27-Sep-2025 11:40             4022863
clang-next-headers-22.0.0_pre20250926-r0.apk       27-Sep-2025 11:40             1037980
clang-next-libclang-22.0.0_pre20250926-r0.apk      27-Sep-2025 11:40             9253248
clang-next-libs-22.0.0_pre20250926-r0.apk          27-Sep-2025 11:40            15974614
clang-next-rtlib-22.0.0_pre20250926-r0.apk         27-Sep-2025 11:40            12691960
clang-next-rtlib-atomic-22.0.0_pre20250926-r0.apk  27-Sep-2025 11:40               12814
clang-next-rtlib-scudo-22.0.0_pre20250926-r0.apk   27-Sep-2025 11:40               43194
clang-next-static-22.0.0_pre20250926-r0.apk        27-Sep-2025 11:40            36246096
clatd-1.6-r0.apk                                   25-Oct-2024 19:08               12910
clementine-1.4.1_git20250503-r0.apk                12-Jun-2025 15:22             6411045
clevis-21-r0.apk                                   26-Jan-2025 06:27               57922
clevis-bash-completion-21-r0.apk                   26-Jan-2025 06:27                2085
clevis-dbg-21-r0.apk                               26-Jan-2025 06:27               65950
clevis-doc-21-r0.apk                               26-Jan-2025 06:27               23727
clevis-extra-pins-0_git20230629-r0.apk             25-Oct-2024 19:08                4767
click-0.5.2-r4.apk                                 17-Feb-2025 15:07              162148
click-dev-0.5.2-r4.apk                             17-Feb-2025 15:07                9346
click-doc-0.5.2-r4.apk                             17-Feb-2025 15:07                3387
click-pyc-0.5.2-r4.apk                             17-Feb-2025 15:07              178970
clinfo-3.0.23.01.25-r0.apk                         25-Oct-2024 19:08               48323
clinfo-doc-3.0.23.01.25-r0.apk                     25-Oct-2024 19:08                6625
cliphist-0.6.1-r8.apk                              06-Sep-2025 16:20              939331
cliphist-fzf-0.6.1-r8.apk                          06-Sep-2025 16:20                1837
clipit-1.4.5-r3.apk                                25-Oct-2024 19:08               67646
clipit-doc-1.4.5-r3.apk                            25-Oct-2024 19:08                2443
cliquer-1.23-r0.apk                                12-Aug-2025 04:15                7614
cliquer-dev-1.23-r0.apk                            12-Aug-2025 04:15                7679
cliquer-libs-1.23-r0.apk                           12-Aug-2025 04:15               25256
cliquer-static-1.23-r0.apk                         12-Aug-2025 04:15               31279
cliquer-tests-1.23-r0.apk                          12-Aug-2025 04:15               24573
cln-1.3.7-r1.apk                                   25-May-2025 07:49              447765
cln-dev-1.3.7-r1.apk                               25-May-2025 07:49             1230039
cln-doc-1.3.7-r1.apk                               25-May-2025 07:49               79202
cloud-hypervisor-45.0-r0.apk                       16-May-2025 07:28             2473869
cloudflared-2024.12.1-r9.apk                       06-Sep-2025 16:20             9263958
cloudflared-doc-2024.12.1-r9.apk                   06-Sep-2025 16:20                1955
cloudflared-openrc-2024.12.1-r9.apk                06-Sep-2025 16:20                1836
cloudfoundry-cli-8.7.9-r12.apk                     06-Sep-2025 16:20             8965985
cluster-glue-1.0.12-r5.apk                         25-Oct-2024 19:08              318328
cluster-glue-dev-1.0.12-r5.apk                     25-Oct-2024 19:08             1114889
cluster-glue-doc-1.0.12-r5.apk                     25-Oct-2024 19:08               33714
cluster-glue-libs-1.0.12-r5.apk                    25-Oct-2024 19:08              121140
cm256cc-1.1.1-r1.apk                               08-Feb-2025 23:46               10900
cm256cc-dev-1.1.1-r1.apk                           08-Feb-2025 23:46               15483
cmusfm-0.5.0-r1.apk                                27-Aug-2025 04:14               16031
cobang-1.7.3-r0.apk                                06-Sep-2025 16:20               39586
cobang-lang-1.7.3-r0.apk                           06-Sep-2025 16:20               10536
coccinelle-1.1.1-r2.apk                            25-Oct-2024 19:08             9386453
coccinelle-bash-completion-1.1.1-r2.apk            25-Oct-2024 19:08                2921
coccinelle-doc-1.1.1-r2.apk                        25-Oct-2024 19:08               16356
cocogitto-6.3.0-r0.apk                             26-Mar-2025 11:50             1746782
cocogitto-bash-completion-6.3.0-r0.apk             26-Mar-2025 11:50                3115
cocogitto-doc-6.3.0-r0.apk                         26-Mar-2025 11:50               38703
cocogitto-fish-completion-6.3.0-r0.apk             26-Mar-2025 11:50                3403
cocogitto-zsh-completion-6.3.0-r0.apk              26-Mar-2025 11:50                3120
code-minimap-0.6.7-r0.apk                          12-Dec-2024 19:37              339562
code-minimap-doc-0.6.7-r0.apk                      12-Dec-2024 19:37                8181
code-oss-1.104.1-r1.apk                            23-Sep-2025 03:32            26416451
code-oss-bash-completion-1.104.1-r1.apk            23-Sep-2025 03:32                2254
code-oss-zsh-completion-1.104.1-r1.apk             23-Sep-2025 03:32                2735
codec2-1.2.0-r0.apk                                25-May-2025 22:14              690933
codec2-dev-1.2.0-r0.apk                            25-May-2025 22:14               15788
cogapp-3.6.0-r0.apk                                22-Sep-2025 09:42               30549
cogapp-pyc-3.6.0-r0.apk                            22-Sep-2025 09:42               54712
colormake-0.9.20170221-r0.apk                      25-Oct-2024 19:08                4166
colormake-doc-0.9.20170221-r0.apk                  25-Oct-2024 19:08                2746
colorpicker-0_git20201128-r1.apk                   25-Oct-2024 19:08                4354
comics-downloader-0.33.8-r13.apk                   06-Sep-2025 16:20             3791090
comics-downloader-gui-0.33.8-r13.apk               06-Sep-2025 16:20             5581976
commit-lsp-0.1.0-r0.apk                            08-May-2025 12:22             2181731
commoncpp-7.0.1-r1.apk                             25-Oct-2024 19:08              287017
commoncpp-dev-7.0.1-r1.apk                         25-Oct-2024 19:08              177177
commoncpp-doc-7.0.1-r1.apk                         25-Oct-2024 19:08               15184
commoncpp-tools-7.0.1-r1.apk                       25-Oct-2024 19:08               43478
compiz-0.9.14.2-r12.apk                            02-Oct-2025 14:07             6220127
compiz-dev-0.9.14.2-r12.apk                        02-Oct-2025 14:07              120000
compiz-lang-0.9.14.2-r12.apk                       02-Oct-2025 14:07             1265535
compiz-pyc-0.9.14.2-r12.apk                        02-Oct-2025 14:07              114200
compiz-utils-0.9.14.2-r12.apk                      02-Oct-2025 14:07                3423
conntracct-0.2.7-r34.apk                           06-Sep-2025 16:20             5007382
conntracct-openrc-0.2.7-r34.apk                    06-Sep-2025 16:20                1962
console_bridge-1.0.2-r0.apk                        25-Oct-2024 19:08                9866
console_bridge-dev-1.0.2-r0.apk                    25-Oct-2024 19:08                4838
consul-replicate-0.4.0-r34.apk                     06-Sep-2025 16:20             2888850
contractor-0.3.5-r0.apk                            12-Nov-2024 21:56               27166
convert2json-2.3.2-r0.apk                          10-Aug-2025 09:19                1356
convert2json-bson-2.3.2-r0.apk                     10-Aug-2025 09:19                1311
convert2json-bson-jaq-2.3.2-r0.apk                 10-Aug-2025 09:19              236889
convert2json-bson-json-2.3.2-r0.apk                10-Aug-2025 09:19              227488
convert2json-cbor-2.3.2-r0.apk                     10-Aug-2025 09:19                1314
convert2json-cbor-jaq-2.3.2-r0.apk                 10-Aug-2025 09:19              207683
convert2json-cbor-json-2.3.2-r0.apk                10-Aug-2025 09:19              198471
convert2json-csv-2.3.2-r0.apk                      10-Aug-2025 09:19                1315
convert2json-csv-jaq-2.3.2-r0.apk                  10-Aug-2025 09:19              225963
convert2json-csv-json-2.3.2-r0.apk                 10-Aug-2025 09:19              216377
convert2json-doc-2.3.2-r0.apk                      10-Aug-2025 09:19               13604
convert2json-ini-2.3.2-r0.apk                      10-Aug-2025 09:19                1313
convert2json-ini-jaq-2.3.2-r0.apk                  10-Aug-2025 09:19              191523
convert2json-ini-json-2.3.2-r0.apk                 10-Aug-2025 09:19              181766
convert2json-jaq-2.3.2-r0.apk                      10-Aug-2025 09:19                1396
convert2json-json-2.3.2-r0.apk                     10-Aug-2025 09:19                1378
convert2json-messagepack-2.3.2-r0.apk              10-Aug-2025 09:19                1321
convert2json-messagepack-jaq-2.3.2-r0.apk          10-Aug-2025 09:19              205265
convert2json-messagepack-json-2.3.2-r0.apk         10-Aug-2025 09:19              195997
convert2json-plist-2.3.2-r0.apk                    10-Aug-2025 09:19                1317
convert2json-plist-jaq-2.3.2-r0.apk                10-Aug-2025 09:19              245641
convert2json-plist-json-2.3.2-r0.apk               10-Aug-2025 09:19              236468
convert2json-rsv-2.3.2-r0.apk                      10-Aug-2025 09:19                1314
convert2json-rsv-jaq-2.3.2-r0.apk                  10-Aug-2025 09:19              170979
convert2json-rsv-json-2.3.2-r0.apk                 10-Aug-2025 09:19              159706
convert2json-toml-2.3.2-r0.apk                     10-Aug-2025 09:19                1317
convert2json-toml-jaq-2.3.2-r0.apk                 10-Aug-2025 09:19              242149
convert2json-toml-json-2.3.2-r0.apk                10-Aug-2025 09:19              232477
convert2json-xml-2.3.2-r0.apk                      10-Aug-2025 09:19                1315
convert2json-xml-jaq-2.3.2-r0.apk                  10-Aug-2025 09:19              203863
convert2json-xml-json-2.3.2-r0.apk                 10-Aug-2025 09:19              194023
convert2json-yaml-2.3.2-r0.apk                     10-Aug-2025 09:19                1315
convert2json-yaml-jaq-2.3.2-r0.apk                 10-Aug-2025 09:19              268324
convert2json-yaml-json-2.3.2-r0.apk                10-Aug-2025 09:19              258702
copyq-10.0.0-r0.apk                                25-Jun-2025 13:53             2705172
copyq-bash-completion-10.0.0-r0.apk                25-Jun-2025 13:53                2323
copyq-doc-10.0.0-r0.apk                            25-Jun-2025 13:53                3576
corosync-3.1.9-r0.apk                              29-May-2025 10:14              287963
corosync-dev-3.1.9-r0.apk                          29-May-2025 10:14              481408
corosync-doc-3.1.9-r0.apk                          29-May-2025 10:14              194825
corosync-openrc-3.1.9-r0.apk                       29-May-2025 10:14                1823
cortex-tenant-1.15.7-r0.apk                        19-Sep-2025 08:30             4146551
cortex-tenant-openrc-1.15.7-r0.apk                 19-Sep-2025 08:30                2097
cosmic-app-library-1.0.0_beta1_p1-r0.apk           01-Oct-2025 23:03             6940735
cosmic-applets-1.0.0_beta1_p1-r0.apk               01-Oct-2025 23:03            10220350
cosmic-bg-1.0.0_beta1_p1-r0.apk                    01-Oct-2025 23:03             1781857
cosmic-comp-1.0.0_beta1_p1-r0.apk                  01-Oct-2025 23:03             6157040
cosmic-edit-1.0.0_beta1_p1-r0.apk                  01-Oct-2025 23:03            11898268
cosmic-files-1.0.0_beta1_p1-r0.apk                 01-Oct-2025 23:03            22015554
cosmic-greeter-1.0.0_beta1_p1-r0.apk               01-Oct-2025 23:03            11054230
cosmic-icons-1.0.0_beta1_p1-r0.apk                 01-Oct-2025 23:03              246970
cosmic-idle-1.0.0_beta1_p1-r0.apk                  01-Oct-2025 23:03             1090452
cosmic-initial-setup-1.0.0_beta1_p1-r0.apk         01-Oct-2025 23:03            11476855
cosmic-launcher-1.0.0_beta1_p1-r0.apk              01-Oct-2025 23:03             5688690
cosmic-notifications-1.0.0_beta1_p1-r0.apk         01-Oct-2025 23:03             6061792
cosmic-osd-1.0.0_beta1_p1-r0.apk                   01-Oct-2025 23:03             5335438
cosmic-panel-1.0.0_beta1_p1-r0.apk                 01-Oct-2025 23:03             3446846
cosmic-player-1.0.0_beta1_p1-r0.apk                01-Oct-2025 23:03             6672660
cosmic-randr-1.0.0_beta1_p1-r0.apk                 01-Oct-2025 23:03              517110
cosmic-screenshot-1.0.0_beta1_p1-r0.apk            01-Oct-2025 23:03              865125
cosmic-session-1.0.0_beta1_p1-r0.apk               01-Oct-2025 23:03             1181559
cosmic-settings-1.0.0_beta1_p1-r0.apk              01-Oct-2025 23:03            11407080
cosmic-settings-daemon-1.0.0_beta1_p1-r0.apk       01-Oct-2025 23:03             1339160
cosmic-store-1.0.0_beta1_p1-r0.apk                 01-Oct-2025 23:03             8547197
cosmic-term-1.0.0_beta1_p1-r0.apk                  01-Oct-2025 23:03            10286470
cosmic-workspaces-1.0.0_beta1_p1-r0.apk            01-Oct-2025 23:03             6492652
cowsay-3.04-r2.apk                                 25-Oct-2024 19:08               18814
cowsay-doc-3.04-r2.apk                             25-Oct-2024 19:08                4093
coxeter-3.0-r1.apk                                 25-Oct-2024 19:08               49915
coxeter-dev-3.0-r1.apk                             25-Oct-2024 19:08               58104
coxeter-libs-3.0-r1.apk                            25-Oct-2024 19:08              304855
cpdf-2.8.1-r0.apk                                  08-May-2025 12:22             2500464
cpdf-doc-2.8.1-r0.apk                              08-May-2025 12:22              571401
cpiped-0.1.0-r0.apk                                25-Oct-2024 19:08                6732
cpp-httplib-0.26.0-r0.apk                          29-Aug-2025 21:54               84732
cpp-httplib-doc-0.26.0-r0.apk                      29-Aug-2025 21:54               13717
cpplint-2.0.2-r0.apk                               13-Apr-2025 23:33               82223
cpplint-pyc-2.0.2-r0.apk                           13-Apr-2025 23:33              101875
cproc-0_git20240427-r1.apk                         03-Nov-2024 21:51               54840
cproc-dbg-0_git20240427-r1.apk                     03-Nov-2024 21:51              123873
cproc-doc-0_git20240427-r1.apk                     03-Nov-2024 21:51                2917
cpu-x-5.2.0-r1.apk                                 05-May-2025 22:11             2211905
cpu-x-bash-completion-5.2.0-r1.apk                 05-May-2025 22:11                2062
cpu-x-fish-completion-5.2.0-r1.apk                 05-May-2025 22:11                2269
cpu-x-lang-5.2.0-r1.apk                            05-May-2025 22:11              272315
cpu-x-zsh-completion-5.2.0-r1.apk                  05-May-2025 22:11                2174
cpuburn-1.4a_git20160316-r2.apk                    25-Oct-2024 19:08                3407
cpufetch-1.06-r0.apk                               25-Oct-2024 19:08               44384
cpufetch-doc-1.06-r0.apk                           25-Oct-2024 19:08                3180
crazydiskinfo-1.1.0-r1.apk                         25-Oct-2024 19:08               33509
createrepo_c-1.1.4-r0.apk                          25-Oct-2024 19:08               50623
createrepo_c-bash-completion-1.1.4-r0.apk          25-Oct-2024 19:08                2949
createrepo_c-dev-1.1.4-r0.apk                      25-Oct-2024 19:08               32230
createrepo_c-doc-1.1.4-r0.apk                      25-Oct-2024 19:08                8864
createrepo_c-libs-1.1.4-r0.apk                     25-Oct-2024 19:08               88866
crispy-doom-7.1-r0.apk                             24-Sep-2025 02:49             1892553
crispy-doom-doc-7.1-r0.apk                         24-Sep-2025 02:49              109961
crossplane-0.5.8-r3.apk                            25-Oct-2024 19:08               30930
crossplane-pyc-0.5.8-r3.apk                        25-Oct-2024 19:08               40190
crow-translate-4.0.2-r0.apk                        21-Sep-2025 14:09            11231558
crow-translate-lang-4.0.2-r0.apk                   21-Sep-2025 14:09              567192
crowdsec-1.7.0-r2.apk                              02-Oct-2025 14:07            35075826
crowdsec-email-plugin-1.7.0-r2.apk                 02-Oct-2025 14:07             6255439
crowdsec-http-plugin-1.7.0-r2.apk                  02-Oct-2025 14:07             6211332
crowdsec-openrc-1.7.0-r2.apk                       02-Oct-2025 14:07                1846
crowdsec-sentinel-plugin-1.7.0-r2.apk              02-Oct-2025 14:07             6207160
crowdsec-slack-plugin-1.7.0-r2.apk                 02-Oct-2025 14:07             6254840
crowdsec-splunk-plugin-1.7.0-r2.apk                02-Oct-2025 14:07             6209111
crun-vm-0.3.0-r0.apk                               12-Nov-2024 11:41             1052637
crun-vm-doc-0.3.0-r0.apk                           12-Nov-2024 11:41               13200
cscope-15.9-r1.apk                                 25-Oct-2024 19:08              156519
cscope-doc-15.9-r1.apk                             25-Oct-2024 19:08                7670
csfml-2.5.2-r0.apk                                 25-Oct-2024 19:08               98027
csfml-dev-2.5.2-r0.apk                             25-Oct-2024 19:08               79052
csfml-doc-2.5.2-r0.apk                             25-Oct-2024 19:08              208902
csmith-2.3.0-r2.apk                                25-Oct-2024 19:08              316388
csmith-doc-2.3.0-r2.apk                            25-Oct-2024 19:08                3147
csol-1.6.0-r0.apk                                  25-Oct-2024 19:08               38442
csol-doc-1.6.0-r0.apk                              25-Oct-2024 19:08                3941
ctorrent-dnh-3.3.2-r2.apk                          25-Oct-2024 19:08               87615
cups-pdf-3.0.2-r0.apk                              04-Jul-2025 21:11               22256
cura-5.2.2-r1.apk                                  25-Oct-2024 19:08            44146929
cura-lang-5.2.2-r1.apk                             25-Oct-2024 19:08             4278750
curlftpfs-0.9.2-r3.apk                             25-Oct-2024 19:08               24260
curlftpfs-doc-0.9.2-r3.apk                         25-Oct-2024 19:08                6260
curtail-1.13.0-r0.apk                              05-Jul-2025 20:39               31088
curtail-lang-1.13.0-r0.apk                         05-Jul-2025 20:39               79382
cutechess-1.3.1-r0.apk                             25-Oct-2024 19:08             1130681
cutechess-cli-1.3.1-r0.apk                         25-Oct-2024 19:08              353851
cutechess-cli-doc-1.3.1-r0.apk                     25-Oct-2024 19:08                6737
cutechess-doc-1.3.1-r0.apk                         25-Oct-2024 19:08                3656
cvise-2.11.0-r0.apk                                11-Mar-2025 03:55             4712693
cvise-pyc-2.11.0-r0.apk                            11-Mar-2025 03:55               61138
cvs-fast-export-1.65-r0.apk                        25-Oct-2024 19:08               49246
cvs-fast-export-doc-1.65-r0.apk                    25-Oct-2024 19:08               17867
cvs-fast-export-tools-1.65-r0.apk                  25-Oct-2024 19:08                8872
cyrus-sasl-xoauth2-0.2-r1.apk                      25-Oct-2024 19:08                7082
cyrus-sasl-xoauth2-doc-0.2-r1.apk                  25-Oct-2024 19:08                2328
cyrus-sasl-xoauth2-static-0.2-r1.apk               25-Oct-2024 19:08                7090
cz-viator-hourglass-black-20210706-r0.apk          25-Oct-2024 19:08              224303
daemontools-0.76-r3.apk                            25-Oct-2024 19:08              108571
daemontools-openrc-0.76-r3.apk                     25-Oct-2024 19:08                2007
daktilo-0.6.0-r0.apk                               25-Oct-2024 19:08             1828731
daktilo-bash-completion-0.6.0-r0.apk               25-Oct-2024 19:08                2214
daktilo-doc-0.6.0-r0.apk                           25-Oct-2024 19:08                8873
daktilo-fish-completion-0.6.0-r0.apk               25-Oct-2024 19:08                1986
daktilo-zsh-completion-0.6.0-r0.apk                25-Oct-2024 19:08                2319
darkradiant-3.9.0-r0.apk                           28-Sep-2025 11:21             9321031
darkradiant-doc-3.9.0-r0.apk                       28-Sep-2025 11:21             2339910
darkradiant-lang-3.9.0-r0.apk                      28-Sep-2025 11:21               38112
darkreader-4.9.110-r0.apk                          21-Aug-2025 08:58              785825
dart-3.8.1-r0.apk                                  12-Jun-2025 21:51            49246064
dart-sass-1.90.0-r0.apk                            10-Aug-2025 16:46             1473734
dart-sdk-3.8.1-r0.apk                              12-Jun-2025 21:52           135507619
dart-stage0-3.8.0_alpha237_p0-r0.apk               12-Jun-2025 21:52           188502373
dartaotruntime-3.8.1-r0.apk                        12-Jun-2025 21:52             1483519
darts-clone-0.32h-r0.apk                           06-Jul-2025 07:09               42095
darts-clone-dev-0.32h-r0.apk                       06-Jul-2025 07:09               13505
dasht-2.4.0-r0.apk                                 25-Oct-2024 19:08               14579
dasht-doc-2.4.0-r0.apk                             25-Oct-2024 19:08               11676
dasht-zsh-completion-2.4.0-r0.apk                  25-Oct-2024 19:08                2137
davmail-6.4.0-r0.apk                               02-Sep-2025 13:16            10245354
dbmate-2.26.0-r5.apk                               06-Sep-2025 16:20            10711272
dbmate-doc-2.26.0-r5.apk                           06-Sep-2025 16:20                2314
dbus-broker-37-r0.apk                              17-Jun-2025 11:01               86182
dbus-broker-doc-37-r0.apk                          17-Jun-2025 11:01                6014
dcmtk-3.6.9-r0.apk                                 26-Jan-2025 06:27             1345957
dcmtk-dev-3.6.9-r0.apk                             26-Jan-2025 06:27             1697572
dcmtk-doc-3.6.9-r0.apk                             26-Jan-2025 06:27              263593
dcmtk-openrc-3.6.9-r0.apk                          26-Jan-2025 06:27                1724
dcnnt-0.10.0-r1.apk                                25-Oct-2024 19:08               28449
dcnnt-doc-0.10.0-r1.apk                            25-Oct-2024 19:08                6749
dcnnt-pyc-0.10.0-r1.apk                            25-Oct-2024 19:08               63151
ddcci-driver-linux-src-0.4.5-r2.apk                26-Mar-2025 11:50               19576
ddgr-2.2-r0.apk                                    25-Oct-2024 19:08               20577
ddgr-bash-completion-2.2-r0.apk                    25-Oct-2024 19:08                2277
ddgr-doc-2.2-r0.apk                                25-Oct-2024 19:08               11823
ddgr-fish-completion-2.2-r0.apk                    25-Oct-2024 19:08                2356
ddgr-zsh-completion-2.2-r0.apk                     25-Oct-2024 19:08                2758
ddserver-0_git20200930-r1.apk                      25-Oct-2024 19:08               12450
deadbeef-soxr-20180801-r0.apk                      25-Oct-2024 19:08                6018
debconf-1.5.82-r0.apk                              25-Oct-2024 19:08               71067
debconf-bash-completion-1.5.82-r0.apk              25-Oct-2024 19:08                1899
debconf-doc-1.5.82-r0.apk                          25-Oct-2024 19:08               27444
debconf-lang-1.5.82-r0.apk                         25-Oct-2024 19:08              135604
debconf-utils-1.5.82-r0.apk                        25-Oct-2024 19:08                6821
deblob-0.11-r0.apk                                 12-Jul-2025 06:30              143327
deblob-doc-0.11-r0.apk                             12-Jul-2025 06:30                3907
decoder-0.7.0-r0.apk                               10-Apr-2025 13:26             1979360
decoder-lang-0.7.0-r0.apk                          10-Apr-2025 13:26               60381
dehydrated-0.7.1-r0.apk                            25-Oct-2024 19:08               27070
desed-1.2.1-r1.apk                                 25-Oct-2024 19:08              377620
desed-doc-1.2.1-r1.apk                             25-Oct-2024 19:08                2948
desync-0.9.6-r8.apk                                06-Sep-2025 16:20             7608115
detox-2.0.0-r0.apk                                 25-Oct-2024 19:08              117990
detox-doc-2.0.0-r0.apk                             25-Oct-2024 19:08               21232
deviced-0_git20250427-r0.apk                       05-Jul-2025 20:03              126132
deviced-dev-0_git20250427-r0.apk                   05-Jul-2025 20:03               26713
deviced-openrc-0_git20250427-r0.apk                05-Jul-2025 20:03                1744
devil-1.8.0-r0.apk                                 25-Oct-2024 19:08              247289
devil-dev-1.8.0-r0.apk                             25-Oct-2024 19:08               13206
devpod-0.6.15-r7.apk                               06-Sep-2025 16:20            23435231
devpod-bash-completion-0.6.15-r7.apk               06-Sep-2025 16:20                5174
devpod-fish-completion-0.6.15-r7.apk               06-Sep-2025 16:20                4414
devpod-zsh-completion-0.6.15-r7.apk                06-Sep-2025 16:20                4128
dewduct-0.2.3-r0.apk                               25-Oct-2024 19:08             1156935
dfl-applications-0.3.0-r0.apk                      21-Aug-2025 07:47               69439
dfl-applications-dev-0.3.0-r0.apk                  21-Aug-2025 07:47                4020
dfl-ipc-0.3.0-r0.apk                               21-Aug-2025 07:47               50047
dfl-ipc-dev-0.3.0-r0.apk                           21-Aug-2025 07:47                4900
dfl-login1-0.3.0-r0.apk                            21-Aug-2025 07:47               35617
dfl-login1-dev-0.3.0-r0.apk                        21-Aug-2025 07:47                3783
dfl-sni-0.3.0-r0.apk                               21-Aug-2025 07:47               61525
dfl-sni-dev-0.3.0-r0.apk                           21-Aug-2025 07:47                5071
dfu-programmer-1.1.0-r0.apk                        25-Oct-2024 19:08               36675
dfu-programmer-bash-completion-1.1.0-r0.apk        25-Oct-2024 19:08                2851
dfu-programmer-doc-1.1.0-r0.apk                    25-Oct-2024 19:08                5900
dhewm3-1.5.4-r0.apk                                17-Feb-2025 15:07             5214686
diceware-1.0.1-r0.apk                              13-Jan-2025 22:49              342042
diceware-pyc-1.0.1-r0.apk                          13-Jan-2025 22:49               18490
disfetch-3.7-r0.apk                                25-Oct-2024 19:08                8490
diskonaut-0.11.0-r3.apk                            25-Oct-2024 19:08              429162
diskus-0.8.0-r0.apk                                18-May-2025 22:20              324533
dislocker-0.7.3-r6.apk                             23-Jul-2025 04:04               16421
dislocker-doc-0.7.3-r6.apk                         23-Jul-2025 04:04                6165
dislocker-libs-0.7.3-r6.apk                        23-Jul-2025 04:04               45868
dive-0.13.0-r5.apk                                 06-Sep-2025 16:20             3838792
dlib-19.24.4-r0.apk                                25-Oct-2024 19:08              763029
dlib-dev-19.24.4-r0.apk                            25-Oct-2024 19:08             2546836
dmarc-cat-0.15.0-r8.apk                            06-Sep-2025 16:20             2752943
dmarc-metrics-exporter-1.2.0-r0.apk                29-Nov-2024 22:02               25881
dmarc-metrics-exporter-openrc-1.2.0-r0.apk         29-Nov-2024 22:02                1896
dmarc-metrics-exporter-pyc-1.2.0-r0.apk            29-Nov-2024 22:02               47577
dmenu-wl-0.1-r0.apk                                02-Jul-2025 10:32               18569
dmenu-wl-doc-0.1-r0.apk                            02-Jul-2025 10:32                4178
dnote-0.15.1-r8.apk                                06-Sep-2025 16:20             4458563
dnote-bash-completion-0.15.1-r8.apk                06-Sep-2025 16:20                2146
dnote-doc-0.15.1-r8.apk                            06-Sep-2025 16:20               14936
dnote-zsh-completion-0.15.1-r8.apk                 06-Sep-2025 16:20                2073
dnscontrol-4.25.0-r0.apk                           18-Sep-2025 02:05            14886758
dnscontrol-doc-4.25.0-r0.apk                       18-Sep-2025 02:05                2334
dnscrypt-wrapper-0.4.2-r3.apk                      25-Oct-2024 19:08               30620
dnsenum-1.3.2-r0.apk                               25-Oct-2024 19:08               21737
dnsenum-doc-1.3.2-r0.apk                           25-Oct-2024 19:08                5366
dnsperf-2.14.0-r0.apk                              25-Oct-2024 19:08               73426
dnsperf-doc-2.14.0-r0.apk                          25-Oct-2024 19:08               35778
dnsq-0.19.9-r0.apk                                 26-Sep-2025 12:14             3856055
dnssec-tools-2.2.3-r13.apk                         30-Jun-2025 07:25              783999
dnssec-tools-dev-2.2.3-r13.apk                     30-Jun-2025 07:25              194190
dnssec-tools-doc-2.2.3-r13.apk                     30-Jun-2025 07:25              324628
doasedit-1.0.8-r0.apk                              06-Aug-2025 07:59                3296
docker-auth-1.14.0-r0.apk                          25-Sep-2025 22:00             9995284
docker-auth-doc-1.14.0-r0.apk                      25-Sep-2025 22:00               10830
docker-auth-openrc-1.14.0-r0.apk                   25-Sep-2025 22:00                2111
docker-volume-local-persist-1.3.0-r36.apk          06-Sep-2025 16:20             2530670
docker-volume-local-persist-openrc-1.3.0-r36.apk   06-Sep-2025 16:20                1825
dockerize-0.9.6-r0.apk                             06-Sep-2025 16:20             3375604
dodo-0_git20250926-r0.apk                          27-Sep-2025 21:59              192399
dodo-pyc-0_git20250926-r0.apk                      27-Sep-2025 21:59               90799
dolt-1.58.5-r1.apk                                 06-Sep-2025 16:20            38893053
dooit-3.2.2-r0.apk                                 16-May-2025 07:28               45909
dooit-extras-0.2.0-r0.apk                          07-Dec-2024 20:23               13043
dooit-extras-pyc-0.2.0-r0.apk                      07-Dec-2024 20:23               23340
dooit-pyc-3.2.2-r0.apk                             16-May-2025 07:28              102750
dotenv-linter-3.3.0-r1.apk                         25-Oct-2024 19:08              967111
dotnet-bash-completion-6.0.136-r1.apk              25-Nov-2024 04:51                1839
dotnet-doc-6.0.136-r1.apk                          25-Nov-2024 04:51              113156
dotnet-host-6.0.36-r1.apk                          25-Nov-2024 04:51               35102
dotnet-zsh-completion-6.0.136-r1.apk               25-Nov-2024 04:51                1704
dotnet6-apphost-pack-6.0.36-r1.apk                 25-Nov-2024 04:51             4577673
dotnet6-artifacts-6.0.136-r1.apk                   25-Nov-2024 04:51           462432035
dotnet6-build-6.0.136-r1.apk                       25-Nov-2024 04:52          1267645753
dotnet6-hostfxr-6.0.36-r1.apk                      25-Nov-2024 04:52              152939
dotnet6-runtime-6.0.36-r1.apk                      25-Nov-2024 04:52            27606174
dotnet6-sdk-6.0.136-r1.apk                         25-Nov-2024 04:52           105161325
dotnet6-stage0-6.0.116-r4.apk                      25-Oct-2024 19:09                1236
dotnet6-stage0-artifacts-6.0.116-r4.apk            25-Oct-2024 19:09          1025454071
dotnet6-stage0-bootstrap-6.0.116-r4.apk            25-Oct-2024 19:09           418762417
dotnet6-targeting-pack-6.0.36-r1.apk               25-Nov-2024 04:52             3263495
dotnet6-templates-6.0.136-r1.apk                   25-Nov-2024 04:52             6111045
downloader-cli-0.3.4-r2.apk                        14-May-2025 18:17                2024
dprint-0.49.1-r0.apk                               13-Apr-2025 22:35             4002133
dprint-bash-completion-0.49.1-r0.apk               13-Apr-2025 22:35                3316
dprint-doc-0.49.1-r0.apk                           13-Apr-2025 22:35                3267
dprint-fish-completion-0.49.1-r0.apk               13-Apr-2025 22:35                3870
dprint-zsh-completion-0.49.1-r0.apk                13-Apr-2025 22:35                4238
draco-1.5.7-r2.apk                                 17-Feb-2025 15:07              825212
draco-dev-1.5.7-r2.apk                             17-Feb-2025 15:07              209622
draco-static-1.5.7-r2.apk                          17-Feb-2025 15:07             1537076
draco-tools-1.5.7-r2.apk                           17-Feb-2025 15:07             1236274
draw-0.1.1-r16.apk                                 06-Sep-2025 16:20              986800
drogon-1.9.4-r2.apk                                22-May-2025 06:53             1463706
drogon-dev-1.9.4-r2.apk                            22-May-2025 06:53              124256
drogon-doc-1.9.4-r2.apk                            22-May-2025 06:53                2326
droidcam-2.1.3-r3.apk                              27-Sep-2025 13:54               19271
droidcam-gui-2.1.3-r3.apk                          27-Sep-2025 13:54               33829
drone-cli-1.8.0-r13.apk                            06-Sep-2025 16:20             5860781
dropwatch-1.5.5-r1.apk                             28-Jul-2025 14:35               17600
dropwatch-doc-1.5.5-r1.apk                         28-Jul-2025 14:35                3784
drumgizmo-0.9.20-r1.apk                            25-Oct-2024 19:09              404455
drupal7-7.103-r0.apk                               04-Dec-2024 17:28             3443842
drupal7-doc-7.103-r0.apk                           04-Dec-2024 17:28               58842
dsp-2.0-r2.apk                                     27-Sep-2025 13:54              161179
dsp-doc-2.0-r2.apk                                 27-Sep-2025 13:54               10377
dstask-0.27-r2.apk                                 06-Sep-2025 16:20             1558884
dstask-bash-completion-0.27-r2.apk                 06-Sep-2025 16:20                2160
dstask-fish-completion-0.27-r2.apk                 06-Sep-2025 16:20                1713
dstask-import-0.27-r2.apk                          06-Sep-2025 16:20             3500354
dstask-zsh-completion-0.27-r2.apk                  06-Sep-2025 16:20                1700
dublin-traceroute-0.4.2-r4.apk                     25-Oct-2024 19:09               46781
dublin-traceroute-contrib-0.4.2-r4.apk             25-Oct-2024 19:09                2934
dublin-traceroute-dev-0.4.2-r4.apk                 25-Oct-2024 19:09                7060
dublin-traceroute-doc-0.4.2-r4.apk                 25-Oct-2024 19:09                2358
duc-1.4.5-r0.apk                                   25-Oct-2024 19:09               89864
duc-doc-1.4.5-r0.apk                               25-Oct-2024 19:09                9302
duf-0.9.1-r0.apk                                   13-Sep-2025 14:36             1219724
duf-doc-0.9.1-r0.apk                               13-Sep-2025 14:36                4830
dufs-0.45.0-r0.apk                                 06-Sep-2025 16:20             1633249
dufs-bash-completion-0.45.0-r0.apk                 06-Sep-2025 16:20                2399
dufs-doc-0.45.0-r0.apk                             06-Sep-2025 16:20               10857
dufs-fish-completion-0.45.0-r0.apk                 06-Sep-2025 16:20                2468
dufs-zsh-completion-0.45.0-r0.apk                  06-Sep-2025 16:20                2788
dulcepan-1.0.2-r0.apk                              25-Oct-2024 19:09               20916
dum-0.1.20-r1.apk                                  02-Apr-2025 17:03              332094
dune-deps-1.3.0-r2.apk                             25-Oct-2024 19:09             1048265
dustracing2d-2.1.1-r1.apk                          25-Oct-2024 19:09             5370919
dvdbackup-0.4.2-r1.apk                             25-Oct-2024 19:09               16310
dvdbackup-doc-0.4.2-r1.apk                         25-Oct-2024 19:09                7758
dvdbackup-lang-0.4.2-r1.apk                        25-Oct-2024 19:09                1459
dvisvgm-3.4.4-r0.apk                               18-May-2025 22:43             1103019
dvisvgm-doc-3.4.4-r0.apk                           18-May-2025 22:43               26707
dwl-0.7-r0.apk                                     25-Oct-2024 19:09               28130
dwl-doc-0.7-r0.apk                                 25-Oct-2024 19:09                3199
e16-1.0.30-r0.apk                                  05-Nov-2024 13:22              805697
e16-doc-1.0.30-r0.apk                              05-Nov-2024 13:22               27904
e16-lang-1.0.30-r0.apk                             05-Nov-2024 13:22              388737
eatmemory-0.1.6-r2.apk                             25-Oct-2024 19:09                4465
eboard-1.1.3-r1.apk                                25-Oct-2024 19:09             1479821
eboard-doc-1.1.3-r1.apk                            25-Oct-2024 19:09                4769
ecasound-2.9.3-r4.apk                              24-Feb-2025 21:33              694927
ecasound-dev-2.9.3-r4.apk                          24-Feb-2025 21:33             1200195
ecasound-doc-2.9.3-r4.apk                          24-Feb-2025 21:33               39373
eccodes-2.42.0-r0.apk                              07-Jul-2025 15:58            11278108
eclib-20250627-r0.apk                              28-Jun-2025 06:15              349388
eclib-dev-20250627-r0.apk                          28-Jun-2025 06:15               98069
eclib-doc-20250627-r0.apk                          28-Jun-2025 06:15               28614
eclib-libs-20250627-r0.apk                         28-Jun-2025 06:15             1183375
eclib-static-20250627-r0.apk                       28-Jun-2025 06:15            21311733
eclipse-ecj-4.37-r0.apk                            22-Sep-2025 13:04             2664649
ecos-2.0.10-r0.apk                                 25-Oct-2024 19:09               38608
ecos-dev-2.0.10-r0.apk                             25-Oct-2024 19:09               28590
edit-1.2.0-r0.apk                                  12-Jun-2025 21:52              242519
edit-doc-1.2.0-r0.apk                              12-Jun-2025 21:52                2297
edward-1.1.0-r0.apk                                25-Oct-2024 19:09             1954952
edward-doc-1.1.0-r0.apk                            25-Oct-2024 19:09                5400
efibootguard-0.16-r1.apk                           23-Jul-2025 04:04               88346
efibootguard-bash-completion-0.16-r1.apk           23-Jul-2025 04:04                3657
efibootguard-dev-0.16-r1.apk                       23-Jul-2025 04:04               23047
efibootguard-zsh-completion-0.16-r1.apk            23-Jul-2025 04:04                2956
efl-1.28.1-r2.apk                                  26-Mar-2025 11:50            35539283
efl-dev-1.28.1-r2.apk                              26-Mar-2025 11:50             1908942
efl-gdb-1.28.1-r2.apk                              26-Mar-2025 11:50                1731
eiwd-3.9-r0.apk                                    23-Jul-2025 04:04              822636
eiwd-doc-3.9-r0.apk                                23-Jul-2025 04:04               20921
eiwd-openrc-3.9-r0.apk                             23-Jul-2025 04:04                1928
elastic-beats-8.14.2-r8.apk                        06-Sep-2025 16:20                1263
electron-38.1.2-r0.apk                             23-Sep-2025 03:32           103489128
electron-dev-38.1.2-r0.apk                         23-Sep-2025 03:32              348071
electron-lang-38.1.2-r0.apk                        23-Sep-2025 03:32            11232914
electron-tasje-0.7.3-r0.apk                        25-Oct-2024 19:09             1229724
element-desktop-1.12.0-r0.apk                      24-Sep-2025 20:46            37230218
elementary-calculator-8.0.1-r0.apk                 02-Sep-2025 02:29               73727
elementary-calculator-lang-8.0.1-r0.apk            02-Sep-2025 02:29               60922
elementary-camera-8.0.2-r0.apk                     02-Sep-2025 02:25               89229
elementary-camera-lang-8.0.2-r0.apk                02-Sep-2025 02:25               36015
elementary-dock-8.0.2-r0.apk                       24-May-2025 22:57               90183
elementary-dock-lang-8.0.2-r0.apk                  24-May-2025 22:57               28217
elementary-feedback-8.0.1-r0.apk                   13-May-2025 21:59               47199
elementary-feedback-lang-8.0.1-r0.apk              13-May-2025 21:59               47605
elementary-icon-theme-8.1.0-r0.apk                 13-May-2025 21:59             5293645
elementary-music-8.0.0-r0.apk                      28-Oct-2024 22:05               75929
elementary-music-lang-8.0.0-r0.apk                 28-Oct-2024 22:05               48627
elementary-photos-8.0.1-r0.apk                     04-Dec-2024 11:57             1177924
elementary-photos-lang-8.0.1-r0.apk                04-Dec-2024 11:57             1052063
elementary-settings-daemon-8.3.0-r0.apk            26-May-2025 09:05               82902
elementary-settings-daemon-lang-8.3.0-r0.apk       26-May-2025 09:05               75795
elementary-settings-daemon-openrc-8.3.0-r0.apk     26-May-2025 09:05                1840
elementary-sound-theme-1.1.0-r0.apk                10-Nov-2024 22:07               85066
elementary-theme-8.1.0-r0.apk                      12-Jan-2025 20:47             1571156
elementary-videos-8.0.2-r0.apk                     02-Sep-2025 02:28              117273
elementary-videos-lang-8.0.2-r0.apk                02-Sep-2025 02:28               85417
elf_diff-0.7.1-r3.apk                              25-Oct-2024 19:09              110876
elf_diff-pyc-0.7.1-r3.apk                          25-Oct-2024 19:09              110555
elfio-3.12-r0.apk                                  25-Oct-2024 19:09                1454
elfio-dev-3.12-r0.apk                              25-Oct-2024 19:09               56320
eludris-0.3.3-r1.apk                               25-Oct-2024 19:09             1872793
eludris-doc-0.3.3-r1.apk                           25-Oct-2024 19:09                2340
emacs-ace-window-0.10.0_git20220911-r0.apk         25-Oct-2024 19:09               23323
emacs-avy-0.5.0_git20230420-r0.apk                 25-Oct-2024 19:09               44484
emacs-avy-embark-collect-1.1-r0.apk                03-May-2025 20:02                3919
emacs-centaur-tabs-3.2_git20230601-r0.apk          25-Oct-2024 19:09               56394
emacs-closql-1.2.1_git20240712-r0.apk              25-Oct-2024 19:09               14714
emacs-consult-1.4_git20240405-r0.apk               25-Oct-2024 19:09              141065
emacs-derl-0_git20231004-r1.apk                    29-Jul-2025 04:35               24005
emacs-elfeed-3.4.2-r0.apk                          03-May-2025 20:02               92730
emacs-emacsql-3.1.1_git20240714-r0.apk             25-Oct-2024 19:09               23144
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk       25-Oct-2024 19:09                6208
emacs-emacsql-psql-3.1.1_git20240714-r0.apk        25-Oct-2024 19:09                5992
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk      25-Oct-2024 19:09               18374
emacs-emacsql-sqlite-module-3.1.1_git20240714-r..> 25-Oct-2024 19:09                4394
emacs-embark-1.1-r0.apk                            03-May-2025 20:02              113563
emacs-embark-consult-1.1-r0.apk                    03-May-2025 20:02               10659
emacs-ement-0.16-r0.apk                            03-May-2025 20:02              297715
emacs-epkg-3.3.3_git20240713-r0.apk                25-Oct-2024 19:09               37703
emacs-fossil-0_git20230504-r0.apk                  25-Oct-2024 19:09               14979
emacs-gnosis-0.3.2-r0.apk                          25-Oct-2024 19:09               63636
emacs-hackernews-0.7.0-r0.apk                      25-Oct-2024 19:09               15897
emacs-helm-3.9.7_git20240329-r0.apk                25-Oct-2024 19:09              834736
emacs-hnreader-0_git20221116-r0.apk                25-Oct-2024 19:09               10011
emacs-hydra-0.15.0_git20220910-r0.apk              25-Oct-2024 19:09               47063
emacs-llama-1.0.1-r0.apk                           07-Sep-2025 06:10               12899
emacs-lsp-booster-0.2.1-r0.apk                     13-Apr-2025 20:28              398063
emacs-lsp-booster-doc-0.2.1-r0.apk                 13-Apr-2025 20:28                2323
emacs-persist-0.6_git20240114-r0.apk               25-Oct-2024 19:09                6789
emacs-powerline-2.4_git20221110-r0.apk             25-Oct-2024 19:09               29614
emacs-sqlite3-api-0.18-r0.apk                      25-Oct-2024 19:09               18541
emacs-svg-lib-0_git20240219-r0.apk                 25-Oct-2024 19:09               19449
emacs-taxy-0.10.2-r0.apk                           03-May-2025 20:02               11741
emacs-taxy-magit-section-0.14.3-r0.apk             03-May-2025 20:02               18112
emacs-total-recall-0_git20250426-r0.apk            03-May-2025 20:02               18019
emacs-total-recall-examples-0_git20250426-r0.apk   03-May-2025 20:02               14263
empede-0.2.3-r0.apk                                25-Oct-2024 19:09             1717473
empede-doc-0.2.3-r0.apk                            25-Oct-2024 19:09                2343
empede-openrc-0.2.3-r0.apk                         25-Oct-2024 19:09                1965
emulationstation-2.11.2-r1.apk                     25-Oct-2024 19:09             1270689
emulationstation-theme-gbz35-2.11.2-r1.apk         25-Oct-2024 19:09             3413269
endeavour-43.0-r2.apk                              08-Dec-2024 21:43              193938
endeavour-dev-43.0-r2.apk                          08-Dec-2024 21:43               46680
endeavour-doc-43.0-r2.apk                          08-Dec-2024 21:43               69704
endeavour-lang-43.0-r2.apk                         08-Dec-2024 21:43              208015
endless-sky-0.10.2-r0.apk                          25-Oct-2024 19:09           253927413
endless-sky-doc-0.10.2-r0.apk                      25-Oct-2024 19:09               37389
endlessh-1.1-r1.apk                                25-May-2025 07:49                9675
endlessh-doc-1.1-r1.apk                            25-May-2025 07:49                2726
enjoy-0.3-r1.apk                                   25-Oct-2024 19:09               12406
enlighten-0.9.2-r1.apk                             25-Oct-2024 19:09                7301
enlighten-doc-0.9.2-r1.apk                         25-Oct-2024 19:09                3592
envconsul-0.13.3-r6.apk                            06-Sep-2025 16:20             4881107
envsubst-0.1-r1.apk                                25-Oct-2024 19:09                4684
epic6-0_git20250821-r0.apk                         06-Sep-2025 16:20              372630
epic6-doc-0_git20250821-r0.apk                     06-Sep-2025 16:20               17608
epic6-script-0_git20250821-r0.apk                  06-Sep-2025 16:20              152554
epoch-1.3.0-r2.apk                                 25-Oct-2024 19:09               53502
epr-2.4.15-r1.apk                                  25-Oct-2024 19:09               16077
epr-pyc-2.4.15-r1.apk                              25-Oct-2024 19:09               25004
ergo-ldap-0.0.1-r20.apk                            06-Sep-2025 16:20             2185343
ergo-ldap-doc-0.0.1-r20.apk                        06-Sep-2025 16:20                2339
errands-46.2.8-r0.apk                              22-May-2025 15:24               86083
errands-lang-46.2.8-r0.apk                         22-May-2025 15:24               72891
espeakup-0.90-r2.apk                               25-Oct-2024 19:09               12139
espeakup-openrc-0.90-r2.apk                        25-Oct-2024 19:09                1857
esptool-4.8.1-r0.apk                               25-Oct-2024 19:09              434186
esptool-pyc-4.8.1-r0.apk                           25-Oct-2024 19:09              562522
ettercap-0.8.3.1-r3.apk                            25-Oct-2024 19:09              624657
ettercap-doc-0.8.3.1-r3.apk                        25-Oct-2024 19:09               46207
eva-0.3.1-r2.apk                                   25-Oct-2024 19:09              630082
evolution-etesync-1.1.1-r0.apk                     27-Sep-2025 19:40               63796
evolution-etesync-lang-1.1.1-r0.apk                27-Sep-2025 19:40               19736
evolution-on-3.24.4-r1.apk                         18-Sep-2025 17:36               11257
eww-0.4.0-r1.apk                                   25-Oct-2024 19:09             1554468
eww-dbg-0.4.0-r1.apk                               25-Oct-2024 19:09              763984
exabgp-4.2.24-r1.apk                               06-Sep-2025 16:20              394057
exabgp-doc-4.2.24-r1.apk                           06-Sep-2025 16:20                8290
exabgp-openrc-4.2.24-r1.apk                        06-Sep-2025 16:20                2305
exabgp-pyc-4.2.24-r1.apk                           06-Sep-2025 16:20              796938
exercism-3.2.0-r16.apk                             06-Sep-2025 16:20             4094065
exercism-bash-completion-3.2.0-r16.apk             06-Sep-2025 16:20                2007
exercism-fish-completion-3.2.0-r16.apk             06-Sep-2025 16:20                2420
exercism-zsh-completion-3.2.0-r16.apk              06-Sep-2025 16:20                2163
extrace-0.9-r0.apk                                 25-Oct-2024 19:09               11711
extrace-doc-0.9-r0.apk                             25-Oct-2024 19:09                3601
extremetuxracer-0.8.3-r0.apk                       25-Oct-2024 19:09            41449246
extremetuxracer-doc-0.8.3-r0.apk                   25-Oct-2024 19:09                6865
extundelete-0.2.4-r1.apk                           25-Oct-2024 19:09               39474
fabric-3.2.2-r1.apk                                25-Oct-2024 19:09               55947
fabric-pyc-3.2.2-r1.apk                            25-Oct-2024 19:09               61591
fakeroot-tcp-1.32.1-r1.apk                         25-Oct-2024 19:09               30363
fastd-23-r0.apk                                    27-Jan-2025 21:33               72804
fastd-doc-23-r0.apk                                27-Jan-2025 21:33                3357
fastd-openrc-23-r0.apk                             27-Jan-2025 21:33                1738
fatback-1.3-r2.apk                                 25-Oct-2024 19:09               28790
fatback-doc-1.3-r2.apk                             25-Oct-2024 19:09               16468
fathom-1.3.1-r16.apk                               06-Sep-2025 16:20             4821381
fatrace-0.18.0-r0.apk                              27-Jul-2025 19:28               10744
fatrace-doc-0.18.0-r0.apk                          27-Jul-2025 19:28                3393
fatresize-1.1.0-r1.apk                             25-Oct-2024 19:09                8876
fatresize-doc-1.1.0-r1.apk                         25-Oct-2024 19:09               15561
faultstat-0.01.11-r0.apk                           25-Oct-2024 19:09               13124
faultstat-bash-completion-0.01.11-r0.apk           25-Oct-2024 19:09                2351
faultstat-doc-0.01.11-r0.apk                       25-Oct-2024 19:09                3108
faust-2.79.3-r0.apk                                07-Jun-2025 17:01             7834008
faust-dev-2.79.3-r0.apk                            07-Jun-2025 17:01             1440045
faust-doc-2.79.3-r0.apk                            07-Jun-2025 17:01            17503148
faust-static-2.79.3-r0.apk                         07-Jun-2025 17:01              548481
faust-tools-2.79.3-r0.apk                          07-Jun-2025 17:01              125344
faust-vim-2.79.3-r0.apk                            07-Jun-2025 17:01                2666
fava-1.28-r0.apk                                   25-Oct-2024 19:09             1125069
fava-pyc-1.28-r0.apk                               25-Oct-2024 19:09              168040
fbcur-1.0.1-r1.apk                                 25-Oct-2024 19:09                6818
fbcur-doc-1.0.1-r1.apk                             25-Oct-2024 19:09                2217
fceux-2.6.6-r4.apk                                 27-Sep-2025 13:54             2975989
fceux-doc-2.6.6-r4.apk                             27-Sep-2025 13:54              107185
fdm-materials-5.2.2-r1.apk                         25-Oct-2024 19:09               61099
featherpad-1.5.1-r0.apk                            25-Oct-2024 19:09              696210
featherpad-lang-1.5.1-r0.apk                       25-Oct-2024 19:09              473863
felix-2.16.1-r0.apk                                16-May-2025 08:30              630325
femto-2.24.1-r0.apk                                06-Sep-2025 16:20               65813
femto-doc-2.24.1-r0.apk                            06-Sep-2025 16:20               50186
fff-2.2-r0.apk                                     25-Oct-2024 19:09               10990
fff-doc-2.2-r0.apk                                 25-Oct-2024 19:09                9201
fflas-ffpack-2.5.0-r3.apk                          25-Oct-2024 19:09              353641
ffmpeg4-4.4.5-r3.apk                               01-Oct-2025 11:24               34405
ffmpeg4-dev-4.4.5-r3.apk                           01-Oct-2025 11:24              287428
ffmpeg4-libavcodec-4.4.5-r3.apk                    01-Oct-2025 11:24             6530140
ffmpeg4-libavdevice-4.4.5-r3.apk                   01-Oct-2025 11:24               49285
ffmpeg4-libavfilter-4.4.5-r3.apk                   01-Oct-2025 11:24             1539322
ffmpeg4-libavformat-4.4.5-r3.apk                   01-Oct-2025 11:24             1227023
ffmpeg4-libavutil-4.4.5-r3.apk                     01-Oct-2025 11:24              292882
ffmpeg4-libpostproc-4.4.5-r3.apk                   01-Oct-2025 11:24               27909
ffmpeg4-libswresample-4.4.5-r3.apk                 01-Oct-2025 11:24               42241
ffmpeg4-libswscale-4.4.5-r3.apk                    01-Oct-2025 11:24              153235
ffms2-5.0-r2.apk                                   27-Sep-2025 13:54               73199
ffms2-dev-5.0-r2.apk                               27-Sep-2025 13:54                7706
ffms2-doc-5.0-r2.apk                               27-Sep-2025 13:54               30955
ffsend-0.2.76-r4.apk                               25-Oct-2024 19:09             1595657
ffsend-bash-completion-0.2.76-r4.apk               25-Oct-2024 19:09                3700
ffsend-fish-completion-0.2.76-r4.apk               25-Oct-2024 19:09                3655
ffsend-zsh-completion-0.2.76-r4.apk                25-Oct-2024 19:09                4676
fheroes2-1.1.11-r0.apk                             18-Sep-2025 01:53             1696506
fheroes2-lang-1.1.11-r0.apk                        18-Sep-2025 01:53             1806819
fildesh-0.2.0-r0.apk                               25-Oct-2024 19:09               69928
fildesh-doc-0.2.0-r0.apk                           25-Oct-2024 19:09                2150
fildesh-vim-0.2.0-r0.apk                           25-Oct-2024 19:09                3634
filebeat-8.14.2-r8.apk                             06-Sep-2025 16:20            29581238
filebeat-openrc-8.14.2-r8.apk                      06-Sep-2025 16:20                2037
filebrowser-2.27.0-r14.apk                         06-Sep-2025 16:20             7451169
filebrowser-openrc-2.27.0-r14.apk                  06-Sep-2025 16:20                1864
fileshelter-6.2.0-r4.apk                           27-Sep-2025 22:22              315350
fileshelter-openrc-6.2.0-r4.apk                    27-Sep-2025 22:22                1740
filite-0.3.0-r2.apk                                25-Oct-2024 19:09             1162758
findtow-0.1-r0.apk                                 25-Oct-2024 19:09                4800
finger-0.5-r0.apk                                  25-Oct-2024 19:09                8764
finger-doc-0.5-r0.apk                              25-Oct-2024 19:09                3889
firectl-0.2.0-r22.apk                              06-Sep-2025 16:20             3528002
firefox-developer-edition-144.0_beta5-r1.apk       28-Sep-2025 10:33            86065504
firehol-3.1.7-r2.apk                               25-Oct-2024 19:09               86787
firehol-doc-3.1.7-r2.apk                           25-Oct-2024 19:09              690786
firehol-openrc-3.1.7-r2.apk                        25-Oct-2024 19:09                2103
flamelens-0.3.1-r0.apk                             03-May-2025 20:03             1108488
flamelens-doc-0.3.1-r0.apk                         03-May-2025 20:03                3749
flang-next-22.0.0_pre20250926-r0.apk               27-Sep-2025 11:40            22951277
flang-next-dev-22.0.0_pre20250926-r0.apk           27-Sep-2025 11:40             1016563
flang-next-static-22.0.0_pre20250926-r0.apk        27-Sep-2025 11:40            50039035
flann-1.9.2-r1.apk                                 17-Feb-2025 15:07             1666356
flann-dev-1.9.2-r1.apk                             17-Feb-2025 15:07             1027367
flann-doc-1.9.2-r1.apk                             17-Feb-2025 15:07                2591
flare-engine-1.14-r0.apk                           25-Oct-2024 19:09             4647259
flare-engine-doc-1.14-r0.apk                       25-Oct-2024 19:09                2525
flare-game-1.14-r0.apk                             25-Oct-2024 19:09                2241
flatseal-2.3.1-r0.apk                              19-Jun-2025 15:30               43842
flatseal-doc-2.3.1-r0.apk                          19-Jun-2025 15:30                8662
flatseal-lang-2.3.1-r0.apk                         19-Jun-2025 15:30               80827
flauschige-uhr-0.1-r1.apk                          25-Oct-2024 19:09                4624
flawz-0.3.0-r0.apk                                 03-Nov-2024 21:06             1188854
flawz-bash-completion-0.3.0-r0.apk                 03-Nov-2024 21:06                2166
flawz-doc-0.3.0-r0.apk                             03-Nov-2024 21:06                6132
flawz-fish-completion-0.3.0-r0.apk                 03-Nov-2024 21:06                1956
flawz-zsh-completion-0.3.0-r0.apk                  03-Nov-2024 21:06                2279
flightgear-2024.1.1-r0.apk                         05-Mar-2025 00:16            10302926
flightgear-bash-completion-2024.1.1-r0.apk         05-Mar-2025 00:16                5632
flightgear-dbg-2024.1.1-r0.apk                     05-Mar-2025 00:16            21746374
flightgear-doc-2024.1.1-r0.apk                     05-Mar-2025 00:16               59460
flightgear-zsh-completion-2024.1.1-r0.apk          05-Mar-2025 00:16                7506
flint-3.3.1-r0.apk                                 17-Jun-2025 11:01             4266622
flint-dev-3.3.1-r0.apk                             17-Jun-2025 11:01              318675
flintqs-1.0-r1.apk                                 25-Oct-2024 19:09               21831
flowd-0.9.1-r11.apk                                30-Jun-2025 07:25               79845
flowd-dev-0.9.1-r11.apk                            30-Jun-2025 07:25                8274
flowd-doc-0.9.1-r11.apk                            30-Jun-2025 07:25               10328
flowd-openrc-0.9.1-r11.apk                         30-Jun-2025 07:25                1938
fluent-bit-4.1.0-r0.apk                            29-Sep-2025 12:36             8022500
fluent-bit-dev-4.1.0-r0.apk                        29-Sep-2025 12:36              141909
fluent-bit-openrc-4.1.0-r0.apk                     29-Sep-2025 12:36                1749
flutter-3.32.6-r0.apk                              13-Jul-2025 21:22                1298
flutter-common-3.32.6-r0.apk                       13-Jul-2025 21:22            41055776
flutter-desktop-3.32.6-r0.apk                      13-Jul-2025 21:22            32377772
flutter-developer-3.32.6-r0.apk                    13-Jul-2025 21:22             2189602
flutter-glfw-3.32.6-r0.apk                         13-Jul-2025 21:22             5137468
flutter-gtk-3.32.6-r0.apk                          13-Jul-2025 21:22             5154640
flutter-tool-3.32.6-r0.apk                         13-Jul-2025 21:22            15937161
flutter-tool-developer-3.32.6-r0.apk               13-Jul-2025 21:22                1738
fnf-0.1-r0.apk                                     25-Oct-2024 19:09               17042
fnf-doc-0.1-r0.apk                                 25-Oct-2024 19:09                4703
foma-0.10.0_git20240712-r0.apk                     25-Oct-2024 19:09              329460
foma-dev-0.10.0_git20240712-r0.apk                 25-Oct-2024 19:09                8676
font-andika-six-6.210-r0.apk                       28-Sep-2025 11:21             1546030
font-anonymous-pro-1.002-r2.apk                    25-Oct-2024 19:09              270819
font-aref-ruqaa-1.006-r0.apk                       13-Apr-2025 20:28              365884
font-babelstone-han-15.1.3-r0.apk                  25-Oct-2024 19:09            19204368
font-cascadia-2407.24-r1.apk                       27-May-2025 14:36                1298
font-cascadia-code-2407.24-r1.apk                  27-May-2025 14:36              538788
font-cascadia-mono-2407.24-r1.apk                  27-May-2025 14:36              519005
font-chivo-0_git20221110-r0.apk                    25-Oct-2024 19:09              811370
font-chivo-mono-0_git20221110-r0.apk               25-Oct-2024 19:09              640885
font-comic-neue-2.51-r0.apk                        25-Oct-2024 19:09              254741
font-comic-neue-doc-2.51-r0.apk                    25-Oct-2024 19:09             1028161
font-commit-mono-1.143-r0.apk                      25-Oct-2024 19:09              257306
font-cousine-0_git20210228-r0.apk                  25-Oct-2024 19:09              112627
font-fantasque-sans-1.8.0-r0.apk                   25-Oct-2024 19:09                1224
font-fantasque-sans-doc-1.8.0-r0.apk               25-Oct-2024 19:09                5622
font-fantasque-sans-largelineheight-1.8.0-r0.apk   25-Oct-2024 19:09              323378
font-fantasque-sans-largelineheightnoloopk-1.8...> 25-Oct-2024 19:09              323384
font-fantasque-sans-noloopk-1.8.0-r0.apk           25-Oct-2024 19:09              323365
font-fantasque-sans-normal-1.8.0-r0.apk            25-Oct-2024 19:09              323377
font-fira-code-6.2-r0.apk                          25-Oct-2024 19:09              856070
font-fira-code-vf-6.2-r0.apk                       25-Oct-2024 19:09              148542
font-firamath-0.3.4-r0.apk                         25-Oct-2024 19:09              121167
font-fontawesome-4-4.7.0-r3.apk                    25-Oct-2024 19:09              209926
font-hanazono-20170904-r2.apk                      15-Sep-2025 13:00            30352511
font-intel-one-mono-1.3.0-r0.apk                   25-Oct-2024 19:09              288201
font-katex-0.16.2-r0.apk                           25-Oct-2024 19:09              871999
font-material-icons-4.0.0-r0.apk                   25-Oct-2024 19:09              667500
font-monaspace-1.101-r0.apk                        25-Oct-2024 19:09                1491
font-monaspace-argon-1.101-r0.apk                  25-Oct-2024 19:09             2315696
font-monaspace-krypton-1.101-r0.apk                25-Oct-2024 19:09             2169082
font-monaspace-neon-1.101-r0.apk                   25-Oct-2024 19:09             2239070
font-monaspace-radon-1.101-r0.apk                  25-Oct-2024 19:09             2878220
font-monaspace-xenon-1.101-r0.apk                  25-Oct-2024 19:09             2452474
font-monocraft-4.0-r0.apk                          25-Oct-2024 19:09              692994
font-openmoji-16.0.0-r0.apk                        11-Aug-2025 06:31             1437688
font-siji-20190218_git-r2.apk                      25-Oct-2024 19:09               25080
font-stix-otf-2.13-r0.apk                          25-Oct-2024 19:09             2139967
font-stix-ttf-2.13-r0.apk                          25-Oct-2024 19:09              440379
font-tamzen-1.11.5-r1.apk                          25-Oct-2024 19:09               63349
font-terminus-ttf-4.49.3-r0.apk                    12-Jul-2025 06:30              550772
font-tinos-0_git20210228-r0.apk                    25-Oct-2024 19:09              203449
font-tiresias-0_git20200704-r0.apk                 25-Oct-2024 19:09              581903
font-tiresias-doc-0_git20200704-r0.apk             25-Oct-2024 19:09               59545
foolsm-1.0.21-r0.apk                               25-Oct-2024 19:09               33289
foolsm-doc-1.0.21-r0.apk                           25-Oct-2024 19:09                3946
foolsm-openrc-1.0.21-r0.apk                        25-Oct-2024 19:09                1589
formiko-1.5.0-r0.apk                               15-Aug-2025 09:06              109533
formiko-doc-1.5.0-r0.apk                           15-Aug-2025 09:06                8743
formiko-pyc-1.5.0-r0.apk                           15-Aug-2025 09:06               63035
fpc-3.2.2-r4.apk                                   25-Oct-2024 19:09            72679096
fpc-doc-3.2.2-r4.apk                               25-Oct-2024 19:09             1301573
fpc-stage0-3.2.2-r3.apk                            25-Oct-2024 19:09             6956818
fplll-5.5.0-r0.apk                                 20-Nov-2024 00:45               49376
fplll-dev-5.5.0-r0.apk                             20-Nov-2024 00:45               79827
fplll-libs-5.5.0-r0.apk                            20-Nov-2024 00:45             5884973
fplll-static-5.5.0-r0.apk                          20-Nov-2024 00:45             6757383
fplll-strategies-5.5.0-r0.apk                      20-Nov-2024 00:45             1792045
fpp-0.9.5-r0.apk                                   25-Oct-2024 19:09               29971
fpp-doc-0.9.5-r0.apk                               25-Oct-2024 19:09                5724
fq-0.15.1-r1.apk                                   06-Sep-2025 16:20             4329080
freealut-1.1.0-r1.apk                              25-Oct-2024 19:09               18241
freealut-dev-1.1.0-r1.apk                          25-Oct-2024 19:09               24089
freediameter-1.5.0-r1.apk                          25-Oct-2024 19:09                9257
freediameter-dev-1.5.0-r1.apk                      25-Oct-2024 19:09               55075
freediameter-extensions-1.5.0-r1.apk               25-Oct-2024 19:09              366007
freediameter-libfdcore-1.5.0-r1.apk                25-Oct-2024 19:09              152889
freediameter-libfdproto-1.5.0-r1.apk               25-Oct-2024 19:09               82859
freetube-0.23.9-r1.apk                             23-Sep-2025 03:32             1670835
frescobaldi-3.3.0-r1.apk                           25-Oct-2024 19:09             3645970
frescobaldi-doc-3.3.0-r1.apk                       25-Oct-2024 19:09                2539
frescobaldi-pyc-3.3.0-r1.apk                       25-Oct-2024 19:09             1253335
freshrss-1.23.1-r1.apk                             25-Oct-2024 19:09             1594242
freshrss-doc-1.23.1-r1.apk                         25-Oct-2024 19:09              769277
freshrss-lang-1.23.1-r1.apk                        25-Oct-2024 19:09              388353
freshrss-mysql-1.23.1-r1.apk                       25-Oct-2024 19:09                1489
freshrss-openrc-1.23.1-r1.apk                      25-Oct-2024 19:09                2582
freshrss-pgsql-1.23.1-r1.apk                       25-Oct-2024 19:09                1493
freshrss-sqlite-1.23.1-r1.apk                      25-Oct-2024 19:09                1496
freshrss-themes-1.23.1-r1.apk                      25-Oct-2024 19:09             1575994
fulcrum-1.9.8-r1.apk                               25-Oct-2024 19:09              922556
fulcrum-admin-1.9.8-r1.apk                         25-Oct-2024 19:09                8104
fulcrum-doc-1.9.8-r1.apk                           25-Oct-2024 19:09               22132
fungw-1.2.2-r0.apk                                 19-Sep-2025 18:25               14688
fungw-c-1.2.2-r0.apk                               19-Sep-2025 18:25                8704
fungw-cli-1.2.2-r0.apk                             19-Sep-2025 18:25               24322
fungw-dev-1.2.2-r0.apk                             19-Sep-2025 18:25                8002
fungw-doc-1.2.2-r0.apk                             19-Sep-2025 18:25               13503
fungw-duktape-1.2.2-r0.apk                         19-Sep-2025 18:25               18122
fungw-fawk-1.2.2-r0.apk                            19-Sep-2025 18:25              109169
fungw-lua-1.2.2-r0.apk                             19-Sep-2025 18:25               15843
fungw-mujs-1.2.2-r0.apk                            19-Sep-2025 18:25               17715
fungw-perl-1.2.2-r0.apk                            19-Sep-2025 18:25               50534
fungw-python3-1.2.2-r0.apk                         19-Sep-2025 18:25               27960
fungw-tcl-1.2.2-r0.apk                             19-Sep-2025 18:25               14337
fusee-nano-0.5.3-r1.apk                            25-Oct-2024 19:09               21883
fusee-nano-udev-0.5.3-r1.apk                       25-Oct-2024 19:09                1745
fusesoc-2.3-r0.apk                                 25-Oct-2024 19:09               47416
fusesoc-pyc-2.3-r0.apk                             25-Oct-2024 19:09               91405
futhark-0.25.28-r0.apk                             11-Mar-2025 22:54            24035117
fuzzylite-6.0-r2.apk                               01-Feb-2025 16:09                4616
fuzzylite-dev-6.0-r2.apk                           01-Feb-2025 16:09               70073
fuzzylite-doc-6.0-r2.apk                           01-Feb-2025 16:09                2138
fuzzylite-libs-6.0-r2.apk                          01-Feb-2025 16:09              343469
fwallet-1.2.0-r5.apk                               13-Jul-2025 21:22             8545860
fxfloorboard-katana-mk2-20240515-r1.apk            25-Oct-2024 19:09             5738915
fxfloorboard-katana-mk2-doc-20240515-r1.apk        25-Oct-2024 19:09             1138485
fynedesk-0.4.0-r0.apk                              03-Oct-2025 17:52            12929226
gambit-4.9.5-r1.apk                                07-Apr-2025 10:34            11038200
gambit-dev-4.9.5-r1.apk                            07-Apr-2025 10:34             7380234
gambit-doc-4.9.5-r1.apk                            07-Apr-2025 10:34                4440
game-devices-udev-0.23-r0.apk                      12-Nov-2024 10:58                6314
gamemode-1.8.2-r0.apk                              05-Feb-2025 23:30               77322
gamemode-dev-1.8.2-r0.apk                          05-Feb-2025 23:30                5228
gamemode-doc-1.8.2-r0.apk                          05-Feb-2025 23:30                7724
gammastep-2.0.9-r3.apk                             25-Oct-2024 19:09               91825
gammastep-doc-2.0.9-r3.apk                         25-Oct-2024 19:09               14399
gammastep-lang-2.0.9-r3.apk                        25-Oct-2024 19:09               79397
gammastep-pyc-2.0.9-r3.apk                         25-Oct-2024 19:09               17281
gatling-0.16-r6.apk                                25-Oct-2024 19:09              149353
gatling-doc-0.16-r6.apk                            25-Oct-2024 19:09                9295
gatling-openrc-0.16-r6.apk                         25-Oct-2024 19:09                2850
gaupol-1.12-r2.apk                                 25-Oct-2024 19:09              282802
gaupol-doc-1.12-r2.apk                             25-Oct-2024 19:09                2427
gaupol-lang-1.12-r2.apk                            25-Oct-2024 19:09              283325
gaupol-pyc-1.12-r2.apk                             25-Oct-2024 19:09              429299
gb-0.4.4-r34.apk                                   06-Sep-2025 16:20             7049682
gcli-2.6.1-r0.apk                                  26-Jan-2025 17:28              123351
gcli-doc-2.6.1-r0.apk                              26-Jan-2025 17:28               28915
gdcm-3.2.1-r0.apk                                  22-Sep-2025 22:55              412281
gdcm-dev-3.2.1-r0.apk                              22-Sep-2025 22:55              460630
gdcm-doc-3.2.1-r0.apk                              22-Sep-2025 22:55               56737
gdcm-doc-html-3.2.1-r0.apk                         22-Sep-2025 22:55             9320086
gdcm-doc-pdf-3.2.1-r0.apk                          22-Sep-2025 22:55            14928229
gearman-dev-1.1.22-r0.apk                          06-Sep-2025 16:20             1104083
gearman-libs-1.1.22-r0.apk                         06-Sep-2025 16:20               78433
gearmand-1.1.22-r0.apk                             06-Sep-2025 16:20              168248
gearmand-doc-1.1.22-r0.apk                         06-Sep-2025 16:20              193558
gearmand-openrc-1.1.22-r0.apk                      06-Sep-2025 16:20                1848
gede-2.18.2-r1.apk                                 25-Oct-2024 19:09              267614
genact-1.4.2-r0.apk                                25-Oct-2024 19:09             1401210
geoclue-stumbler-0.2.0-r0.apk                      31-Dec-2024 12:56               27329
geodns-3.3.0-r16.apk                               06-Sep-2025 16:20             4779819
geodns-logs-3.3.0-r16.apk                          06-Sep-2025 16:20             4368914
geodns-openrc-3.3.0-r16.apk                        06-Sep-2025 16:20                1821
geomyidae-0.34-r2.apk                              25-Oct-2024 19:09               15191
geomyidae-doc-0.34-r2.apk                          25-Oct-2024 19:09                7831
geomyidae-openrc-0.34-r2.apk                       25-Oct-2024 19:09                2036
geonames-0.3.1-r2.apk                              25-Oct-2024 19:09              847106
geonames-dev-0.3.1-r2.apk                          25-Oct-2024 19:09                3089
geonames-doc-0.3.1-r2.apk                          25-Oct-2024 19:09               12899
geonames-lang-0.3.1-r2.apk                         25-Oct-2024 19:09             4801103
geotagging-0.7.4-r0.apk                            12-Nov-2024 11:04              440277
getmail6-6.19.10-r0.apk                            19-Aug-2025 11:29               72083
getmail6-doc-6.19.10-r0.apk                        19-Aug-2025 11:29              141831
getmail6-pyc-6.19.10-r0.apk                        19-Aug-2025 11:29              105744
getssl-2.48-r0.apk                                 25-Oct-2024 19:09               84160
getting-things-gnome-0.6-r4.apk                    08-Dec-2024 21:43              732083
getting-things-gnome-doc-0.6-r4.apk                08-Dec-2024 21:43              509337
getting-things-gnome-lang-0.6-r4.apk               08-Dec-2024 21:43              234202
gf2x-1.3.0-r1.apk                                  25-Oct-2024 19:09               39857
gf2x-dev-1.3.0-r1.apk                              25-Oct-2024 19:09               62320
gfan-0.6.2-r1.apk                                  25-Oct-2024 19:09             1559766
ghc-current-9.15_pre20250806-r0.apk                07-Aug-2025 02:08           159800214
ghc-current-cmds-9.15_pre20250806-r0.apk           07-Aug-2025 02:08                3538
ghc-current-doc-9.15_pre20250806-r0.apk            07-Aug-2025 02:08                5958
ghc-filesystem-1.5.14-r0.apk                       25-Oct-2024 19:09               39542
ghq-1.8.0-r4.apk                                   06-Sep-2025 16:20             3715661
ghq-bash-completion-1.8.0-r4.apk                   06-Sep-2025 16:20                1831
ghq-doc-1.8.0-r4.apk                               06-Sep-2025 16:20                5631
ghq-fish-completion-1.8.0-r4.apk                   06-Sep-2025 16:20                2610
ghq-zsh-completion-1.8.0-r4.apk                    06-Sep-2025 16:20                2549
gimp-plugin-gmic-3.6.0-r0.apk                      22-Aug-2025 12:13             1386020
ginac-1.8.9-r0.apk                                 25-May-2025 07:49             1109440
ginac-dev-1.8.9-r0.apk                             25-May-2025 07:49               69796
ginac-doc-1.8.9-r0.apk                             25-May-2025 07:49               99853
ginger-2.4.0-r7.apk                                25-Oct-2024 19:09              263234
ginger-lang-2.4.0-r7.apk                           25-Oct-2024 19:09              128459
ginger-pyc-2.4.0-r7.apk                            25-Oct-2024 19:09              211801
gingerbase-2.3.0-r7.apk                            25-Oct-2024 19:09              199911
gingerbase-lang-2.3.0-r7.apk                       25-Oct-2024 19:09               54136
gingerbase-pyc-2.3.0-r7.apk                        25-Oct-2024 19:09               62949
git-bug-0.8.1-r4.apk                               06-Sep-2025 16:20             9906212
git-bug-bash-completion-0.8.1-r4.apk               06-Sep-2025 16:20                5401
git-bug-doc-0.8.1-r4.apk                           06-Sep-2025 16:20               17268
git-bug-fish-completion-0.8.1-r4.apk               06-Sep-2025 16:20                4438
git-bug-zsh-completion-0.8.1-r4.apk                06-Sep-2025 16:20                4147
git-extras-7.4.0-r0.apk                            23-Jul-2025 04:04               58447
git-extras-bash-completion-7.4.0-r0.apk            23-Jul-2025 04:04                2945
git-extras-doc-7.4.0-r0.apk                        23-Jul-2025 04:04               66752
git-graph-0.6.0-r0.apk                             25-Nov-2024 23:38              840805
git-graph-doc-0.6.0-r0.apk                         25-Nov-2024 23:38                6390
git-quick-stats-2.8.0-r0.apk                       11-Sep-2025 08:18               15605
git-quick-stats-doc-2.8.0-r0.apk                   11-Sep-2025 08:18                3557
git-revise-0.7.0-r5.apk                            25-Oct-2024 19:09               24883
git-revise-doc-0.7.0-r5.apk                        25-Oct-2024 19:09                5079
git-revise-pyc-0.7.0-r5.apk                        25-Oct-2024 19:09               43205
git-secret-0.5.0-r0.apk                            25-Oct-2024 19:09               15104
git-secret-doc-0.5.0-r0.apk                        25-Oct-2024 19:09               17475
git2json-0.2.3-r8.apk                              25-Oct-2024 19:09                7601
git2json-pyc-0.2.3-r8.apk                          25-Oct-2024 19:09                5827
gitoxide-0.14.0-r1.apk                             25-Oct-2024 19:09             2723920
gitu-0.37.0-r0.apk                                 29-Sep-2025 05:20             4970643
gitu-doc-0.37.0-r0.apk                             29-Sep-2025 05:20                3599
gkrellm-2.3.11-r0.apk                              08-Jan-2025 22:36              358753
gkrellm-dev-2.3.11-r0.apk                          08-Jan-2025 22:36               16900
gkrellm-doc-2.3.11-r0.apk                          08-Jan-2025 22:36               18974
gkrellm-lang-2.3.11-r0.apk                         08-Jan-2025 22:36              388219
gkrellm-server-2.3.11-r0.apk                       08-Jan-2025 22:36               52712
glfw-wayland-3.3.8-r3.apk                          25-Oct-2024 19:09               67586
glfw-wayland-dbg-3.3.8-r3.apk                      25-Oct-2024 19:09              199822
glfw-wayland-dev-3.3.8-r3.apk                      25-Oct-2024 19:09               46710
gliderlabs-sigil-0.11.0-r8.apk                     06-Sep-2025 16:20             3226464
gliderlabs-sigil-doc-0.11.0-r8.apk                 06-Sep-2025 16:20                2475
glmark2-2023.01-r1.apk                             25-Oct-2024 19:09             8329633
glmark2-doc-2023.01-r1.apk                         25-Oct-2024 19:09               13115
gloox-1.0.28-r0.apk                                25-Oct-2024 19:09              373307
gloox-dev-1.0.28-r0.apk                            25-Oct-2024 19:09              958335
glow-2.1.1-r3.apk                                  06-Sep-2025 16:20             5920804
glow-bash-completion-2.1.1-r3.apk                  06-Sep-2025 16:20                6243
glow-doc-2.1.1-r3.apk                              06-Sep-2025 16:20                3275
glow-fish-completion-2.1.1-r3.apk                  06-Sep-2025 16:20                4424
glow-zsh-completion-2.1.1-r3.apk                   06-Sep-2025 16:20                4142
glslviewer-3.2.4-r2.apk                            28-Aug-2025 17:44             1771234
gmcapsule-0.9.7-r0.apk                             08-Jan-2025 19:12               36900
gmcapsule-openrc-0.9.7-r0.apk                      08-Jan-2025 19:12                1974
gmcapsule-pyc-0.9.7-r0.apk                         08-Jan-2025 19:12               62087
gmenuharness-0.1.4-r2.apk                          17-Feb-2025 15:07               37483
gmenuharness-dev-0.1.4-r2.apk                      17-Feb-2025 15:07                4162
gmic-3.6.0-r0.apk                                  22-Aug-2025 12:13            12918757
gmic-bash-completion-3.6.0-r0.apk                  22-Aug-2025 12:13               29369
gmic-dev-3.6.0-r0.apk                              22-Aug-2025 12:13                7800
gmic-doc-3.6.0-r0.apk                              22-Aug-2025 12:13              228222
gmic-libs-3.6.0-r0.apk                             22-Aug-2025 12:13             3220962
gmic-qt-3.6.0-r0.apk                               22-Aug-2025 12:13             1732264
gmid-2.1.1-r0.apk                                  27-Nov-2024 19:26              232005
gmid-doc-2.1.1-r0.apk                              27-Nov-2024 19:26               14789
gmid-openrc-2.1.1-r0.apk                           27-Nov-2024 19:26                2248
gmsh-4.12.2-r2.apk                                 25-Oct-2024 19:09             8829971
gmsh-dbg-4.12.2-r2.apk                             25-Oct-2024 19:09           147920941
gmsh-doc-4.12.2-r2.apk                             25-Oct-2024 19:09             2038924
gmsh-py-4.12.2-r2.apk                              25-Oct-2024 19:09                6906
gnome-common-3.18.0-r3.apk                         25-Oct-2024 19:09               11807
gnome-latex-3.48.0-r0.apk                          07-Apr-2025 21:28              371310
gnome-latex-doc-3.48.0-r0.apk                      07-Apr-2025 21:28              110597
gnome-latex-lang-3.48.0-r0.apk                     07-Apr-2025 21:28              542981
gnome-mahjongg-49.0-r0.apk                         28-Sep-2025 19:12             2494504
gnome-mahjongg-doc-49.0-r0.apk                     28-Sep-2025 19:12                2249
gnome-mahjongg-lang-49.0-r0.apk                    28-Sep-2025 19:12              174402
gnome-metronome-1.3.0-r0.apk                       25-Oct-2024 19:09              465830
gnome-metronome-lang-1.3.0-r0.apk                  25-Oct-2024 19:09               25275
gnome-mimeapps-0.1-r1.apk                          10-Aug-2025 01:01                3780
gnome-user-share-48.1-r1.apk                       10-Aug-2025 01:01              310681
gnome-user-share-lang-48.1-r1.apk                  10-Aug-2025 01:01               69549
gnu-apl-1.9-r0.apk                                 25-Oct-2024 19:09             1388474
gnu-apl-dev-1.9-r0.apk                             25-Oct-2024 19:09              612868
gnu-apl-doc-1.9-r0.apk                             25-Oct-2024 19:09             1632312
gnucobol-3.2-r0.apk                                28-Jul-2025 14:02              811924
gnucobol-doc-3.2-r0.apk                            28-Jul-2025 14:02               72503
gnucobol-lang-3.2-r0.apk                           28-Jul-2025 14:02              323611
go-away-0.7.0-r1.apk                               06-Sep-2025 16:20             8307017
go-away-openrc-0.7.0-r1.apk                        06-Sep-2025 16:20                2319
go-jsonnet-0.21.0-r3.apk                           06-Sep-2025 16:20             6648652
go-mtpfs-1.0.0-r30.apk                             06-Sep-2025 16:20             1195987
go-passbolt-cli-0.3.2-r6.apk                       06-Sep-2025 16:20             6101619
gobang-0.1.0_alpha5-r1.apk                         25-Oct-2024 19:09             1950087
gobuster-3.8.0-r1.apk                              06-Sep-2025 16:20             3629148
godini-1.0.0-r3.apk                                06-Sep-2025 16:20             1490746
godini-doc-1.0.0-r3.apk                            06-Sep-2025 16:20               15123
godot-4.4.1-r0.apk                                 09-May-2025 21:02            56985566
godot-doc-4.4.1-r0.apk                             09-May-2025 21:02                4660
godot-templates-4.4.1-r0.apk                       09-May-2025 21:02            43989761
goguma-0.8.0-r2.apk                                13-Jul-2025 21:22             4584107
gomp-1.0.0-r15.apk                                 06-Sep-2025 16:20             3586751
goomwwm-1.0.0-r5.apk                               25-Oct-2024 19:09               47595
goreman-0.3.15-r16.apk                             06-Sep-2025 16:20             2460778
goshs-1.1.0-r3.apk                                 06-Sep-2025 16:20             6303114
goshs-doc-1.1.0-r3.apk                             06-Sep-2025 16:20                2318
gossip-0.14.0-r0.apk                               26-Mar-2025 11:50            28923959
gossip-doc-0.14.0-r0.apk                           26-Mar-2025 11:50               22355
gotify-2.7.2-r0.apk                                19-Sep-2025 12:35            10757916
gotify-cli-2.3.2-r8.apk                            06-Sep-2025 16:20             4234810
gotify-openrc-2.7.2-r0.apk                         19-Sep-2025 12:35                2047
goxel-0.15.1-r0.apk                                25-Oct-2024 19:09             1761580
gperftools-2.17-r0.apk                             05-Aug-2025 13:00               25313
gperftools-dev-2.17-r0.apk                         05-Aug-2025 13:00              747514
gperftools-doc-2.17-r0.apk                         05-Aug-2025 13:00              252828
gprbuild-22.0.0-r3.apk                             25-Oct-2024 19:09            12781067
gpsbabel-1.9.0-r0.apk                              25-Oct-2024 19:09             1315909
gpsbabel-lang-1.9.0-r0.apk                         25-Oct-2024 19:09               90350
gpscorrelate-2.3-r0.apk                            27-Mar-2025 06:36               48814
gpscorrelate-cli-2.3-r0.apk                        27-Mar-2025 06:36               24317
gpscorrelate-doc-2.3-r0.apk                        27-Mar-2025 06:36              291797
gpscorrelate-lang-2.3-r0.apk                       27-Mar-2025 06:36               17808
gr-satellites-5.5.0-r5.apk                         08-Apr-2025 22:15              499953
gr-satellites-dev-5.5.0-r5.apk                     08-Apr-2025 22:15               13018
gr-satellites-doc-5.5.0-r5.apk                     08-Apr-2025 22:15                4625
gradia-1.9.0-r0.apk                                06-Sep-2025 16:20              544650
gradia-dev-1.9.0-r0.apk                            06-Sep-2025 16:20                2589
gradia-lang-1.9.0-r0.apk                           06-Sep-2025 16:20               56872
granite7-7.5.0-r0.apk                              25-Oct-2024 19:09              122349
granite7-dev-7.5.0-r0.apk                          25-Oct-2024 19:09               43140
granite7-lang-7.5.0-r0.apk                         25-Oct-2024 19:09               53219
grcov-0.8.20-r0.apk                                11-Nov-2024 10:02             1831271
greetd-mini-wl-greeter-0_git20230821-r0.apk        25-Oct-2024 19:09               20480
greetd-mini-wl-greeter-bash-completion-0_git202..> 25-Oct-2024 19:09                2247
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk    25-Oct-2024 19:09                3356
grip-4.2.4-r0.apk                                  25-Oct-2024 19:09              392077
grip-doc-4.2.4-r0.apk                              25-Oct-2024 19:09                6326
grip-lang-4.2.4-r0.apk                             25-Oct-2024 19:09              147890
gron-0.7.1-r28.apk                                 06-Sep-2025 16:20             2536158
gron-doc-0.7.1-r28.apk                             06-Sep-2025 16:20                6474
grpc-health-check-0.1.1-r3.apk                     25-Oct-2024 19:09              988331
grpc-java-1.73.0-r0.apk                            19-Jun-2025 06:26             1580932
grpcui-1.5.1-r2.apk                                06-Sep-2025 16:20             8538140
grpcurl-1.9.3-r6.apk                               06-Sep-2025 16:20             8108974
gsettings-qt-1.0.0-r0.apk                          26-Mar-2025 11:50               30243
gsettings-qt-dev-1.0.0-r0.apk                      26-Mar-2025 11:50                3552
gsimplecal-2.5.1-r0.apk                            25-Oct-2024 19:09               17113
gsimplecal-doc-2.5.1-r0.apk                        25-Oct-2024 19:09                5942
gssdp-1.6.4-r1.apk                                 10-Aug-2025 01:01               47812
gssdp-dev-1.6.4-r1.apk                             10-Aug-2025 01:01               15895
gst-video-thumbnailer-0_git20250917-r0.apk         23-Sep-2025 07:16              170283
gstreamermm-1.10.0-r6.apk                          17-Feb-2025 15:07              474231
gstreamermm-dev-1.10.0-r6.apk                      17-Feb-2025 15:07              317172
gtimelog-0.12_git20250120-r1.apk                   10-Aug-2025 01:01              224559
gtimelog-pyc-0.12_git20250120-r1.apk               10-Aug-2025 01:01              104802
gtk-session-lock-0.2.0-r0.apk                      01-Feb-2025 16:09               30863
gtk-session-lock-dev-0.2.0-r0.apk                  01-Feb-2025 16:09                5404
gtkhash-1.5-r0.apk                                 25-Oct-2024 19:09               89049
gtkhash-lang-1.5-r0.apk                            25-Oct-2024 19:09               47744
gtklock-4.0.0-r0.apk                               01-Feb-2025 16:09               19764
gtklock-doc-4.0.0-r0.apk                           01-Feb-2025 16:09                3044
gtkwave-3.3.120-r0.apk                             25-Oct-2024 19:09             2678163
gtkwave-doc-3.3.120-r0.apk                         25-Oct-2024 19:09               27772
gtranslator-49.0-r0.apk                            15-Sep-2025 07:46              144319
gtranslator-doc-49.0-r0.apk                        15-Sep-2025 07:46              652815
gtranslator-lang-49.0-r0.apk                       15-Sep-2025 07:46              607241
guake-3.10-r1.apk                                  25-Oct-2024 19:09              312650
guake-lang-3.10-r1.apk                             25-Oct-2024 19:09              192304
guake-pyc-3.10-r1.apk                              25-Oct-2024 19:09              190386
guestfs-tools-1.56.1-r0.apk                        23-Jul-2025 04:04              288086
guetzli-0_git20191025-r2.apk                       25-May-2025 07:49              152064
guetzli-dev-0_git20191025-r2.apk                   25-May-2025 07:49             2435590
gufw-24.04-r3.apk                                  20-Nov-2024 00:45              610792
gufw-doc-24.04-r3.apk                              20-Nov-2024 00:45                4591
gufw-lang-24.04-r3.apk                             20-Nov-2024 00:45              875100
gufw-pyc-24.04-r3.apk                              20-Nov-2024 00:45               66125
guish-2.6.11-r0.apk                                24-Dec-2024 10:42               97504
guish-doc-2.6.11-r0.apk                            24-Dec-2024 10:42               62761
gummiboot-48.1-r11.apk                             23-Jul-2025 04:04               41716
gummiboot-doc-48.1-r11.apk                         23-Jul-2025 04:04                3000
gummiboot-efistub-48.1-r11.apk                     23-Jul-2025 04:04               18772
gupnp-1.6.9-r1.apk                                 10-Aug-2025 01:01               91828
gupnp-av-0.14.4-r1.apk                             10-Aug-2025 01:01               81771
gupnp-av-dev-0.14.4-r1.apk                         10-Aug-2025 01:01               42582
gupnp-dev-1.6.9-r1.apk                             10-Aug-2025 01:01               51603
gupnp-dlna-0.12.0-r1.apk                           10-Aug-2025 01:01               70273
gupnp-dlna-dev-0.12.0-r1.apk                       10-Aug-2025 01:01               24925
gupnp-doc-1.6.9-r1.apk                             10-Aug-2025 01:01                3894
gx-0.14.3-r33.apk                                  06-Sep-2025 16:20             4687712
gx-doc-0.14.3-r33.apk                              06-Sep-2025 16:20                2327
gx-go-1.9.0-r35.apk                                06-Sep-2025 16:20             4942686
gx-go-doc-1.9.0-r35.apk                            06-Sep-2025 16:20                2323
gxlimg-0_git20240711-r0.apk                        26-Jan-2025 06:27               20647
gyosu-0.2.0-r1.apk                                 06-Sep-2025 16:20             1860256
h4h5tools-2.2.5-r4.apk                             25-Oct-2024 19:09              103957
h4h5tools-dev-2.2.5-r4.apk                         25-Oct-2024 19:09                8965
h4h5tools-doc-2.2.5-r4.apk                         25-Oct-2024 19:09                2790
h4h5tools-static-2.2.5-r4.apk                      25-Oct-2024 19:09              115287
habitctl-0.1.0-r2.apk                              25-Oct-2024 19:09              314331
halp-0.2.0-r0.apk                                  25-Oct-2024 19:09             1070019
halp-bash-completion-0.2.0-r0.apk                  25-Oct-2024 19:09                2224
halp-doc-0.2.0-r0.apk                              25-Oct-2024 19:09                7043
halp-fish-completion-0.2.0-r0.apk                  25-Oct-2024 19:09                2004
halp-zsh-completion-0.2.0-r0.apk                   25-Oct-2024 19:09                2460
hamster-time-tracker-3.0.3-r2.apk                  25-Oct-2024 19:09              159570
hamster-time-tracker-bash-completion-3.0.3-r2.apk  25-Oct-2024 19:09                2021
hamster-time-tracker-doc-3.0.3-r2.apk              25-Oct-2024 19:09              118989
hamster-time-tracker-lang-3.0.3-r2.apk             25-Oct-2024 19:09              210638
hamster-time-tracker-pyc-3.0.3-r2.apk              25-Oct-2024 19:09              366727
handlebars-1.0.0-r1.apk                            25-Oct-2024 19:09              107266
handlebars-dev-1.0.0-r1.apk                        25-Oct-2024 19:09               33163
handlebars-utils-1.0.0-r1.apk                      25-Oct-2024 19:09               10179
hardened-malloc-13-r0.apk                          25-Oct-2024 19:09               36547
hardinfo2-2.2.13-r0.apk                            28-Sep-2025 11:21             3445100
hardinfo2-doc-2.2.13-r0.apk                        28-Sep-2025 11:21                3078
hardinfo2-lang-2.2.13-r0.apk                       28-Sep-2025 11:21              298740
hardinfo2-openrc-2.2.13-r0.apk                     28-Sep-2025 11:21                1998
hare-adwaita-0.1.0-r0.apk                          25-Aug-2025 17:30               91748
hare-gi-0.1.0-r0.apk                               25-Aug-2025 17:30             1672771
hare-gtk4-layer-shell-0.1.0-r0.apk                 25-Aug-2025 17:30                3881
hare-http-0.25.2.0-r1.apk                          10-Aug-2025 01:01               21185
hare-irc-0.25.2.0-r0.apk                           25-Jun-2025 07:24               10922
hare-madeline-0.1_git20240505-r1.apk               28-Nov-2024 16:16               25166
hare-madeline-doc-0.1_git20240505-r1.apk           28-Nov-2024 16:16                2212
hare-scfg-0.25.2-r0.apk                            22-Sep-2025 15:28                4788
haredo-1.0.5-r1.apk                                28-Nov-2024 16:16              163911
haredo-doc-1.0.5-r1.apk                            28-Nov-2024 16:16                4776
harminv-1.4.2-r1.apk                               25-Oct-2024 19:09                7885
harminv-dev-1.4.2-r1.apk                           25-Oct-2024 19:09                3208
harminv-doc-1.4.2-r1.apk                           25-Oct-2024 19:09                5797
harminv-libs-1.4.2-r1.apk                          25-Oct-2024 19:09               23945
hashcat-6.2.6-r0.apk                               25-Oct-2024 19:09            62349274
hashcat-doc-6.2.6-r0.apk                           25-Oct-2024 19:09             2253583
haskell-language-server-2.9.0.0-r0.apk             25-Oct-2024 19:09            79604910
hatch-1.14.1-r0.apk                                10-Apr-2025 13:26              104572
hatch-pyc-1.14.1-r0.apk                            10-Apr-2025 13:26              221004
hatop-0.8.2-r0.apk                                 25-Oct-2024 19:09               18384
hatop-doc-0.8.2-r0.apk                             25-Oct-2024 19:09                3062
haxe-4.3.3-r1.apk                                  26-Mar-2025 11:50            12772953
haxe-doc-4.3.3-r1.apk                              26-Mar-2025 11:50                7934
hctl-0.2.7-r0.apk                                  14-May-2025 00:04             1266560
hddfancontrol-1.6.2-r0.apk                         25-Oct-2024 19:09               33620
hddfancontrol-openrc-1.6.2-r0.apk                  25-Oct-2024 19:09                2238
hddfancontrol-pyc-1.6.2-r0.apk                     25-Oct-2024 19:09               34847
hdf4-4.2.15-r2.apk                                 25-Oct-2024 19:09              252262
hdf4-dev-4.2.15-r2.apk                             25-Oct-2024 19:09              103280
hdf4-doc-4.2.15-r2.apk                             25-Oct-2024 19:09                6152
hdf4-tools-4.2.15-r2.apk                           25-Oct-2024 19:09              215722
heh-0.6.1-r0.apk                                   25-Oct-2024 19:09              452448
heh-doc-0.6.1-r0.apk                               25-Oct-2024 19:09                4165
heisenbridge-1.15.3-r0.apk                         20-Apr-2025 05:12               68838
heisenbridge-pyc-1.15.3-r0.apk                     20-Apr-2025 05:12              158680
helm-diff-3.11.0-r4.apk                            06-Sep-2025 16:20            21148143
helm-ls-0.5.0-r2.apk                               06-Sep-2025 16:20            17989872
helm-ls-doc-0.5.0-r2.apk                           06-Sep-2025 16:20                2303
helm-mapkubeapis-0.5.2-r9.apk                      06-Sep-2025 16:20            19531011
helm-unittest-0.8.2-r3.apk                         06-Sep-2025 16:20            11301447
helmfile-1.1.3-r2.apk                              06-Sep-2025 16:20            46875992
helmfile-bash-completion-1.1.3-r2.apk              06-Sep-2025 16:20                6255
helmfile-doc-1.1.3-r2.apk                          06-Sep-2025 16:20                2304
helmfile-fish-completion-1.1.3-r2.apk              06-Sep-2025 16:20                4433
helmfile-zsh-completion-1.1.3-r2.apk               06-Sep-2025 16:20                4138
helvum-0.5.1-r0.apk                                25-Oct-2024 19:09              314828
herbe-1.0.0-r0.apk                                 25-Oct-2024 19:09                5664
hex-0.6.0-r0.apk                                   25-Oct-2024 19:09              291480
hexedit-1.6_git20230905-r0.apk                     25-Oct-2024 19:09               17909
hexedit-doc-1.6_git20230905-r0.apk                 25-Oct-2024 19:09                5727
hfst-3.16.2-r0.apk                                 02-Apr-2025 17:03             1513939
hfst-dev-3.16.2-r0.apk                             02-Apr-2025 17:03              213999
hfst-doc-3.16.2-r0.apk                             02-Apr-2025 17:03               71338
hfst-libs-3.16.2-r0.apk                            02-Apr-2025 17:03             1969729
hiawatha-11.6-r1.apk                               27-May-2025 11:07              187673
hiawatha-doc-11.6-r1.apk                           27-May-2025 11:07               21524
hiawatha-letsencrypt-11.6-r1.apk                   27-May-2025 11:07               17649
hiawatha-openrc-11.6-r1.apk                        27-May-2025 11:07                1727
hidrd-0.2.0_git20190603-r1.apk                     25-Oct-2024 19:09               79246
hidrd-dev-0.2.0_git20190603-r1.apk                 25-Oct-2024 19:09              132692
highctidh-1.0.2024092800-r0.apk                    25-Nov-2024 20:23              373039
highctidh-dev-1.0.2024092800-r0.apk                25-Nov-2024 20:23              412746
highfive-2.10.1-r0.apk                             15-Jan-2025 02:50               77292
hilbish-2.3.4-r8.apk                               06-Sep-2025 16:20             3486392
hilbish-doc-2.3.4-r8.apk                           06-Sep-2025 16:20               25533
himitsu-firefox-0.6-r1.apk                         28-Nov-2024 16:16              211393
himitsu-git-0.9.0-r0.apk                           20-Aug-2025 16:37              127421
himitsu-keyring-0.2.0-r0.apk                       25-Oct-2024 19:09               13662
himitsu-secret-service-0.1_git20250705-r0.apk      25-Aug-2025 10:57               16144
himitsu-secret-service-doc-0.1_git20250705-r0.apk  25-Aug-2025 10:57                4071
himitsu-secret-service-pyc-0.1_git20250705-r0.apk  25-Aug-2025 10:57               29299
himitsu-totp-0.9-r0.apk                            06-Sep-2025 16:20              164308
himitsu-totp-doc-0.9-r0.apk                        06-Sep-2025 16:20                2426
hiprompt-gtk-py-0.8.0-r1.apk                       17-Sep-2025 10:03                8238
hitide-0.15.0-r0.apk                               25-Oct-2024 19:09             1964235
hitide-openrc-0.15.0-r0.apk                        25-Oct-2024 19:09                2185
homebank-5.9.1-r0.apk                              08-May-2025 12:22             2125779
homebank-lang-5.9.1-r0.apk                         08-May-2025 12:22              965022
honeybee-0.2.0-r1.apk                              08-Jul-2025 23:15             1556996
honeybee-doc-0.2.0-r1.apk                          08-Jul-2025 23:15                3487
horizon-0.9.6-r9.apk                               25-Oct-2024 19:09              208588
horizon-dbg-0.9.6-r9.apk                           25-Oct-2024 19:09             4158173
horizon-dev-0.9.6-r9.apk                           25-Oct-2024 19:09                4994
horizon-doc-0.9.6-r9.apk                           25-Oct-2024 19:09               21963
horizon-image-0.9.6-r9.apk                         25-Oct-2024 19:09               68690
horizon-tools-0.9.6-r9.apk                         25-Oct-2024 19:09               83777
horust-0.1.9-r0.apk                                05-Aug-2025 11:36             1125645
horust-doc-0.1.9-r0.apk                            05-Aug-2025 11:36               10380
hping3-20051105-r4.apk                             25-Oct-2024 19:09               70056
hping3-doc-20051105-r4.apk                         25-Oct-2024 19:09               17285
hplip-3.25.6-r0.apk                                02-Oct-2025 05:24             6011812
hplip-doc-3.25.6-r0.apk                            02-Oct-2025 05:24               31860
hplip-libs-3.25.6-r0.apk                           02-Oct-2025 05:24              116950
hplip-ppd-3.25.6-r0.apk                            02-Oct-2025 05:24            17238906
hpnssh-18.6.2-r0.apk                               26-Mar-2025 11:50             2474614
hpnssh-doc-18.6.2-r0.apk                           26-Mar-2025 11:50              101533
hsetroot-1.0.5-r1.apk                              25-Oct-2024 19:09               11572
hstdb-2.1.0-r2.apk                                 25-Oct-2024 19:09              872584
htmlcxx-0.87-r1.apk                                25-Oct-2024 19:09               64014
htmlcxx-dev-0.87-r1.apk                            25-Oct-2024 19:09               21110
htslib-1.19-r0.apk                                 25-Oct-2024 19:09              398184
htslib-dev-1.19-r0.apk                             25-Oct-2024 19:09              118073
htslib-doc-1.19-r0.apk                             25-Oct-2024 19:09               23201
htslib-static-1.19-r0.apk                          25-Oct-2024 19:09              508110
htslib-tools-1.19-r0.apk                           25-Oct-2024 19:09             1307812
httpie-oauth-1.0.2-r9.apk                          25-Oct-2024 19:09                3446
httpie-oauth-pyc-1.0.2-r9.apk                      25-Oct-2024 19:09                2363
httplz-2.2.0-r0.apk                                18-May-2025 15:38             1283141
httplz-doc-2.2.0-r0.apk                            18-May-2025 15:38                2307
httpx-1.7.1-r1.apk                                 06-Sep-2025 16:20            14323481
httpx-doc-1.7.1-r1.apk                             06-Sep-2025 16:20                2339
httrack-3.49.2-r5.apk                              25-Oct-2024 19:09              783151
httrack-doc-3.49.2-r5.apk                          25-Oct-2024 19:09              540623
hub-2.14.2-r34.apk                                 06-Sep-2025 16:20             2858846
hub-bash-completion-2.14.2-r34.apk                 06-Sep-2025 16:20                4698
hub-doc-2.14.2-r34.apk                             06-Sep-2025 16:20               42985
hub-fish-completion-2.14.2-r34.apk                 06-Sep-2025 16:20                3383
hub-zsh-completion-2.14.2-r34.apk                  06-Sep-2025 16:20                3800
hubble-cli-0.13.6-r8.apk                           06-Sep-2025 16:20            17310033
hubble-cli-bash-completion-0.13.6-r8.apk           06-Sep-2025 16:20                5189
hubble-cli-fish-completion-0.13.6-r8.apk           06-Sep-2025 16:20                4434
hubble-cli-zsh-completion-0.13.6-r8.apk            06-Sep-2025 16:20                4153
hunspell-ca-es-3.0.7-r0.apk                        25-Oct-2024 19:09              748801
hunspell-fr-7.0-r0.apk                             13-Jun-2025 15:23             1256138
hunspell-fr-doc-7.0-r0.apk                         13-Jun-2025 15:23                2919
hurl-6.1.1-r0.apk                                  03-May-2025 20:03             1774782
hurl-bash-completion-6.1.1-r0.apk                  03-May-2025 20:03                2345
hurl-doc-6.1.1-r0.apk                              03-May-2025 20:03                8994
hurl-fish-completion-6.1.1-r0.apk                  03-May-2025 20:03                3594
hurl-zsh-completion-6.1.1-r0.apk                   03-May-2025 20:03                4095
hurlfmt-6.1.1-r0.apk                               03-May-2025 20:03              818685
hw-probe-1.6.6-r2.apk                              30-Jun-2025 07:25              126788
hwatch-0.3.11-r0.apk                               25-Oct-2024 19:09              994274
hwatch-doc-0.3.11-r0.apk                           25-Oct-2024 19:09                3114
hwatch-fish-completion-0.3.11-r0.apk               25-Oct-2024 19:09                1859
hwatch-zsh-completion-0.3.11-r0.apk                25-Oct-2024 19:09                1971
hx-1.0.15-r0.apk                                   25-Oct-2024 19:09               15702
hx-doc-1.0.15-r0.apk                               25-Oct-2024 19:09                4903
hyfetch-2.0.2-r0.apk                               06-Sep-2025 16:20              847466
hyfetch-bash-completion-2.0.2-r0.apk               06-Sep-2025 16:20                3422
hyfetch-doc-2.0.2-r0.apk                           06-Sep-2025 16:20               18972
hyfetch-zsh-completion-2.0.2-r0.apk                06-Sep-2025 16:20                2636
hyperrogue-13.1e-r0.apk                            13-Sep-2025 14:13            87407756
hyperrogue-doc-13.1e-r0.apk                        13-Sep-2025 14:13                8174
hypnotix-3.5-r0.apk                                25-Oct-2024 19:09              112700
hypnotix-lang-3.5-r0.apk                           25-Oct-2024 19:09               74144
hypridle-0.1.7-r0.apk                              23-Sep-2025 11:41              132495
hypridle-doc-0.1.7-r0.apk                          23-Sep-2025 11:41                2448
hypridle-openrc-0.1.7-r0.apk                       23-Sep-2025 11:41                1740
hyprlock-0.9.1-r0.apk                              25-Sep-2025 22:00              423434
hyprpicker-0.4.5-r0.apk                            23-Sep-2025 11:43              118682
hyprpicker-doc-0.4.5-r0.apk                        23-Sep-2025 11:43                3910
hyprsunset-0.3.2-r0.apk                            23-Sep-2025 11:42              137170
hyprsunset-doc-0.3.2-r0.apk                        23-Sep-2025 11:42                2484
hyprsunset-openrc-0.3.2-r0.apk                     23-Sep-2025 11:42                1804
hyx-2024.02.29-r0.apk                              25-Oct-2024 19:09               17781
hyx-doc-2024.02.29-r0.apk                          25-Oct-2024 19:09                2291
i2util-4.2.1-r1.apk                                25-Oct-2024 19:09               24017
i2util-dev-4.2.1-r1.apk                            25-Oct-2024 19:09               49567
i2util-doc-4.2.1-r1.apk                            25-Oct-2024 19:09                4824
i3bar-river-1.1.0-r0.apk                           26-Mar-2025 11:50              500040
i3status-rust-0.34.0-r0.apk                        23-Jul-2025 04:04             4397245
i3status-rust-doc-0.34.0-r0.apk                    23-Jul-2025 04:04               33924
ibus-typing-booster-2.27.75-r0.apk                 17-Sep-2025 06:48            13255024
ibus-typing-booster-lang-2.27.75-r0.apk            17-Sep-2025 06:48              314481
ibus-typing-booster-pyc-2.27.75-r0.apk             17-Sep-2025 06:48             1333525
icesprog-0_git20240108-r1.apk                      25-Oct-2024 19:09                9580
icesprog-udev-0_git20240108-r1.apk                 25-Oct-2024 19:09                1938
icestorm-0_git20240517-r0.apk                      25-Oct-2024 19:09            17301362
icingaweb2-module-businessprocess-2.5.2-r0.apk     13-Aug-2025 15:54              112661
icingaweb2-module-businessprocess-doc-2.5.2-r0.apk 13-Aug-2025 15:54             2142105
icingaweb2-module-fileshipper-1.2.0-r3.apk         25-Oct-2024 19:09               11071
icingaweb2-module-fileshipper-doc-1.2.0-r3.apk     25-Oct-2024 19:09              236383
icingaweb2-module-generictts-2.1.0-r0.apk          25-Oct-2024 19:09                6759
icingaweb2-module-generictts-doc-2.1.0-r0.apk      25-Oct-2024 19:09                1863
icingaweb2-module-pnp-1.1.0-r1.apk                 25-Oct-2024 19:09                9262
icingaweb2-module-pnp-doc-1.1.0-r1.apk             25-Oct-2024 19:09                1604
identities-0.1.4-r0.apk                            05-May-2025 21:16               13733
identme-0.6.0-r0.apk                               03-Apr-2025 12:33               47682
idesk-1-r1.apk                                     25-Oct-2024 19:09               69802
ideviceinstaller-1.1.1-r4.apk                      30-Oct-2024 22:44               14444
ideviceinstaller-doc-1.1.1-r4.apk                  30-Oct-2024 22:44                2512
idevicerestore-1.0.0-r4.apk                        30-Oct-2024 22:44               82505
idevicerestore-doc-1.0.0-r4.apk                    30-Oct-2024 22:44                2596
ifuse-1.1.4-r5.apk                                 30-Oct-2024 22:44               10420
ifuse-doc-1.1.4-r5.apk                             30-Oct-2024 22:44                2398
igrep-1.2.0-r0.apk                                 25-Oct-2024 19:09             1642148
igrep-doc-1.2.0-r0.apk                             25-Oct-2024 19:09                4255
ijq-1.2.0-r0.apk                                   17-Sep-2025 04:49             1448109
ijq-doc-1.2.0-r0.apk                               17-Sep-2025 04:49                3677
imapfilter-2.8.2-r0.apk                            25-Oct-2024 19:09               41871
imapfilter-doc-2.8.2-r0.apk                        25-Oct-2024 19:09               13141
imediff-2.6-r1.apk                                 25-Oct-2024 19:09               42581
imediff-doc-2.6-r1.apk                             25-Oct-2024 19:09                6689
imediff-pyc-2.6-r1.apk                             25-Oct-2024 19:09               44709
imgdiff-1.0.2-r29.apk                              06-Sep-2025 16:20             1010992
imgdiff-doc-1.0.2-r29.apk                          06-Sep-2025 16:20                2309
imrsh-0_git20210320-r1.apk                         25-Oct-2024 19:09                8981
imrsh-dbg-0_git20210320-r1.apk                     25-Oct-2024 19:09               19802
incus-ui-canonical-0.18.0-r0.apk                   22-Aug-2025 12:18             4879485
infnoise-0.3.3-r0.apk                              26-May-2025 08:58               14492
infnoise-doc-0.3.3-r0.apk                          26-May-2025 08:58                4245
infnoise-openrc-0.3.3-r0.apk                       26-May-2025 08:58                1770
initify-0_git20171210-r1.apk                       25-Oct-2024 19:09                3356
innernet-1.6.1-r0.apk                              25-Oct-2024 19:09             2767237
innernet-bash-completion-1.6.1-r0.apk              25-Oct-2024 19:09                3916
innernet-doc-1.6.1-r0.apk                          25-Oct-2024 19:09                9247
innernet-fish-completion-1.6.1-r0.apk              25-Oct-2024 19:09                4639
innernet-openrc-1.6.1-r0.apk                       25-Oct-2024 19:09                2352
innernet-zsh-completion-1.6.1-r0.apk               25-Oct-2024 19:09                5582
interception-tools-0.6.8-r2.apk                    25-Oct-2024 19:09              114146
interception-tools-openrc-0.6.8-r2.apk             25-Oct-2024 19:09                1727
intiface-central-2.6.7-r1.apk                      13-Jul-2025 21:22            10628332
invidtui-0.4.6-r8.apk                              06-Sep-2025 16:20             4037573
iotas-0.11.5-r0.apk                                17-Sep-2025 07:51              217301
iotas-lang-0.11.5-r0.apk                           17-Sep-2025 07:51              147305
iotas-pyc-0.11.5-r0.apk                            17-Sep-2025 07:51              336001
ip2location-8.6.1-r0.apk                           25-Oct-2024 19:09               26502
ip2location-dev-8.6.1-r0.apk                       25-Oct-2024 19:09               13361
ip2location-doc-8.6.1-r0.apk                       25-Oct-2024 19:09                2775
ipp-usb-0.9.30-r3.apk                              06-Sep-2025 16:20             2482223
ipp-usb-doc-0.9.30-r3.apk                          06-Sep-2025 16:20                9029
ipp-usb-openrc-0.9.30-r3.apk                       06-Sep-2025 16:20                1772
iprange-1.0.4-r1.apk                               25-Oct-2024 19:09               20414
iprange-doc-1.0.4-r1.apk                           25-Oct-2024 19:09                4638
irccd-4.0.3-r0.apk                                 25-Oct-2024 19:09              272219
irccd-dev-4.0.3-r0.apk                             25-Oct-2024 19:09                9853
irccd-doc-4.0.3-r0.apk                             25-Oct-2024 19:09               82301
irccd-openrc-4.0.3-r0.apk                          25-Oct-2024 19:09                1863
ircd-hybrid-8.2.47-r0.apk                          05-Apr-2025 04:00              509160
ircd-hybrid-doc-8.2.47-r0.apk                      05-Apr-2025 04:00                3700
ircdog-0.5.4-r8.apk                                06-Sep-2025 16:20             2430134
isoinfo-0_git20131217-r1.apk                       25-Oct-2024 19:09                6951
isomd5sum-1.2.5-r0.apk                             15-Jul-2025 08:02               31533
isomd5sum-doc-1.2.5-r0.apk                         15-Jul-2025 08:02                3047
it87-src-1_p20240609-r0.apk                        25-Oct-2024 19:09               30406
jack_capture-0.9.73_git20210429-r2.apk             25-Oct-2024 19:09               34806
jackal-0.64.0-r18.apk                              06-Sep-2025 16:20            11836682
jackal-openrc-0.64.0-r18.apk                       06-Sep-2025 16:20                1878
jackdaw-0.3.1-r2.apk                               29-May-2025 12:00             2072852
jackdaw-pyc-0.3.1-r2.apk                           29-May-2025 12:00              372899
jackline-0.1.0-r3.apk                              25-Oct-2024 19:09             5450067
jadx-1.5.3-r0.apk                                  10-Sep-2025 12:55           116667103
jadx-doc-1.5.3-r0.apk                              10-Sep-2025 12:55                5655
jalv-1.6.8-r1.apk                                  25-Oct-2024 19:09               51788
jalv-doc-1.6.8-r1.apk                              25-Oct-2024 19:09                3252
jalv-gtk-1.6.8-r1.apk                              25-Oct-2024 19:09               34492
jaq-2.3.0-r0.apk                                   23-Jul-2025 04:04              748560
jaq-doc-2.3.0-r0.apk                               23-Jul-2025 04:04                2290
java-asmtools-8.0.09-r0.apk                        25-Oct-2024 19:09              587656
java-asmtools-doc-8.0.09-r0.apk                    25-Oct-2024 19:09                7069
java-gdcm-3.2.1-r0.apk                             22-Sep-2025 22:55              630377
java-jtharness-6.0_p12-r0.apk                      25-Oct-2024 19:09             4232011
java-jtharness-doc-6.0_p12-r0.apk                  25-Oct-2024 19:09               11837
java-jtharness-examples-6.0_p12-r0.apk             25-Oct-2024 19:09              224127
java-jtreg-7.5_p1-r0.apk                           27-Oct-2024 12:04             4825283
jbigkit-2.1-r2.apk                                 25-Oct-2024 19:09               67133
jbigkit-dev-2.1-r2.apk                             25-Oct-2024 19:09               31376
jbigkit-doc-2.1-r2.apk                             25-Oct-2024 19:09                7515
jdebp-redo-1.4-r1.apk                              25-Oct-2024 19:09               94082
jdebp-redo-doc-1.4-r1.apk                          25-Oct-2024 19:09               12409
jdupes-1.28.0-r0.apk                               25-Oct-2024 19:09               28222
jdupes-doc-1.28.0-r0.apk                           25-Oct-2024 19:09                9206
jedi-language-server-0.45.1-r0.apk                 03-May-2025 20:03               32510
jedi-language-server-pyc-0.45.1-r0.apk             03-May-2025 20:03               50938
jfrog-cli-2.45.0-r15.apk                           06-Sep-2025 16:20             9172086
jhead-3.08-r0.apk                                  25-Oct-2024 19:09               34476
jhead-doc-3.08-r0.apk                              25-Oct-2024 19:09                8090
jotdown-0.7.0-r0.apk                               11-Mar-2025 22:54              214257
jrsonnet-cli-0.4.2-r1.apk                          25-Oct-2024 19:09              547298
jsmn-1.1.0-r2.apk                                  25-Oct-2024 19:09                4835
json2tsv-1.2-r0.apk                                25-Oct-2024 19:09                7002
json2tsv-doc-1.2-r0.apk                            25-Oct-2024 19:09                5372
json2tsv-jaq-1.2-r0.apk                            25-Oct-2024 19:09                1950
json2tsv-jaq-doc-1.2-r0.apk                        25-Oct-2024 19:09                2389
jsonnet-bundler-0.6.0-r8.apk                       06-Sep-2025 16:20             3229760
jsonnet-language-server-0.15.0-r7.apk              06-Sep-2025 16:20             4382458
junit2html-31.0.2-r0.apk                           25-Oct-2024 19:09               17132
junit2html-pyc-31.0.2-r0.apk                       25-Oct-2024 19:09               24187
jupyterlab3-3.6.8-r1.apk                           10-Aug-2025 01:01            14674756
jwt-cli-6.2.0-r0.apk                               14-Dec-2024 18:18              961183
k2-0_git20220807-r1.apk                            25-Oct-2024 19:09               98952
k3sup-0.13.6-r8.apk                                06-Sep-2025 16:20             2677695
k3sup-bash-completion-0.13.6-r8.apk                06-Sep-2025 16:20                5135
k3sup-fish-completion-0.13.6-r8.apk                06-Sep-2025 16:20                4375
k3sup-zsh-completion-0.13.6-r8.apk                 06-Sep-2025 16:20                4093
kabmat-2.7.0-r0.apk                                25-Oct-2024 19:09               58644
kabmat-doc-2.7.0-r0.apk                            25-Oct-2024 19:09                3617
kanidm-1.7.3-r0.apk                                22-Aug-2025 09:22                1306
kanidm-bash-completion-1.7.3-r0.apk                22-Aug-2025 09:22               25162
kanidm-clients-1.7.3-r0.apk                        22-Aug-2025 09:22             3695759
kanidm-openrc-1.7.3-r0.apk                         22-Aug-2025 09:22                2118
kanidm-server-1.7.3-r0.apk                         22-Aug-2025 09:22            15108211
kanidm-unixd-clients-1.7.3-r0.apk                  22-Aug-2025 09:22             9598123
kanidm-zsh-completion-1.7.3-r0.apk                 22-Aug-2025 09:22               36315
kannel-1.5.0-r11.apk                               25-Oct-2024 19:09             6507417
kannel-dev-1.5.0-r11.apk                           25-Oct-2024 19:09              974797
kannel-doc-1.5.0-r11.apk                           25-Oct-2024 19:09                6343
kapow-0.7.1-r16.apk                                06-Sep-2025 16:20             3570214
katana-1.2.2-r1.apk                                06-Sep-2025 16:20            13905681
katana-doc-1.2.2-r1.apk                            06-Sep-2025 16:20                2311
katarakt-0.2-r1.apk                                29-Jan-2025 19:49               88573
kbs2-0.7.3-r0.apk                                  30-Jul-2025 07:28             1174251
kbs2-bash-completion-0.7.3-r0.apk                  30-Jul-2025 07:28                3312
kbs2-fish-completion-0.7.3-r0.apk                  30-Jul-2025 07:28                3526
kbs2-zsh-completion-0.7.3-r0.apk                   30-Jul-2025 07:28                4140
kcbench-0.9.12-r2.apk                              03-Oct-2025 09:41               38077
kcbench-doc-0.9.12-r2.apk                          03-Oct-2025 09:41               20747
kdiskmark-3.2.0-r0.apk                             30-Jun-2025 16:46              171543
kdiskmark-lang-3.2.0-r0.apk                        30-Jun-2025 16:46               32590
kerberoast-0.2.0-r2.apk                            29-May-2025 12:00                9550
kerberoast-pyc-0.2.0-r2.apk                        29-May-2025 12:00               15417
kew-3.4.1-r0.apk                                   06-Sep-2025 16:20              520231
kew-doc-3.4.1-r0.apk                               06-Sep-2025 16:20                3620
keybase-client-6.2.8-r13.apk                       06-Sep-2025 16:20            18241537
keydb-6.3.4-r0.apk                                 25-Oct-2024 19:09             1117031
keydb-benchmark-6.3.4-r0.apk                       25-Oct-2024 19:09              443405
keydb-cli-6.3.4-r0.apk                             25-Oct-2024 19:09              377588
keydb-openrc-6.3.4-r0.apk                          25-Oct-2024 19:09                2681
keystone-0.9.2-r6.apk                              25-Oct-2024 19:09             1507669
keystone-dev-0.9.2-r6.apk                          25-Oct-2024 19:09                7479
keystone-python-0.9.2-r6.apk                       25-Oct-2024 19:09             1698979
keystone-python-pyc-0.9.2-r6.apk                   25-Oct-2024 19:09                9906
kfc-0.1.4-r0.apk                                   25-Oct-2024 19:09               59078
kgraphviewer-2.5.0-r0.apk                          25-Oct-2024 19:09             1345024
kgraphviewer-dev-2.5.0-r0.apk                      25-Oct-2024 19:09                6443
kgraphviewer-lang-2.5.0-r0.apk                     25-Oct-2024 19:09              235962
khinsider-2.0.7-r23.apk                            06-Sep-2025 16:20             3464467
khronos-4.0.1-r0.apk                               25-Oct-2024 19:09               54423
khronos-lang-4.0.1-r0.apk                          25-Oct-2024 19:09               26344
kiesel-0_git20250918-r0.apk                        19-Sep-2025 05:31             5864114
kiesel-doc-0_git20250918-r0.apk                    19-Sep-2025 05:31                3800
kimchi-3.0.0-r8.apk                                12-Feb-2025 17:33              541593
kimchi-lang-3.0.0-r8.apk                           12-Feb-2025 17:33              176030
kimchi-pyc-3.0.0-r8.apk                            12-Feb-2025 17:33              487506
kine-0.10.1-r16.apk                                06-Sep-2025 16:20             7800446
kine-doc-0.10.1-r16.apk                            06-Sep-2025 16:20                5277
kirc-0.3.3-r0.apk                                  22-Feb-2025 16:07               15509
kirc-doc-0.3.3-r0.apk                              22-Feb-2025 16:07                2844
kismet-0.202307.2-r9.apk                           02-Oct-2025 14:07            13255747
kismet-linux-bluetooth-0.202307.2-r9.apk           02-Oct-2025 14:07               43937
kismet-linux-wifi-0.202307.2-r9.apk                02-Oct-2025 14:07               63106
kismet-logtools-0.202307.2-r9.apk                  02-Oct-2025 14:07             1204122
kismet-nrf-51822-0.202307.2-r9.apk                 02-Oct-2025 14:07               40462
kismet-nxp-kw41z-0.202307.2-r9.apk                 02-Oct-2025 14:07               42290
kjv-0_git20221103-r0.apk                           25-Oct-2024 19:09             1615559
klevernotes-1.1.0-r0.apk                           25-Oct-2024 19:09             2684040
klevernotes-lang-1.1.0-r0.apk                      25-Oct-2024 19:09              145474
klfc-1.5.7-r0.apk                                  25-Oct-2024 19:09            10870959
klfc-doc-1.5.7-r0.apk                              25-Oct-2024 19:09              410034
klong-20221212-r0.apk                              07-Jun-2025 15:16              341043
kmscon-9.1.0-r0.apk                                26-Jul-2025 00:11              867987
kmscon-doc-9.1.0-r0.apk                            26-Jul-2025 00:11                8603
knative-client-1.18.0-r3.apk                       06-Sep-2025 16:20            23654932
knative-client-bash-completion-1.18.0-r3.apk       06-Sep-2025 16:20               10389
knative-client-fish-completion-1.18.0-r3.apk       06-Sep-2025 16:20                4408
knative-client-zsh-completion-1.18.0-r3.apk        06-Sep-2025 16:20                4130
knxd-0.14.61-r1.apk                                14-Dec-2024 19:38              411923
knxd-dev-0.14.61-r1.apk                            14-Dec-2024 19:38               25588
ko-0.17.1-r8.apk                                   06-Sep-2025 16:20            10235427
ko-bash-completion-0.17.1-r8.apk                   06-Sep-2025 16:20                5160
ko-fish-completion-0.17.1-r8.apk                   06-Sep-2025 16:20                4396
ko-zsh-completion-0.17.1-r8.apk                    06-Sep-2025 16:20                4113
kodaskanna-0.2.2-r0.apk                            26-Jan-2025 06:27               54606
kodaskanna-lang-0.2.2-r0.apk                       26-Jan-2025 06:27               23752
kodi-audioencoder-flac-20.2.0-r1.apk               25-Oct-2024 19:09               45011
kodi-audioencoder-lame-20.3.0-r1.apk               25-Oct-2024 19:09               95440
kodi-audioencoder-vorbis-20.2.0-r1.apk             25-Oct-2024 19:09               36413
kodi-audioencoder-wav-20.2.0-r1.apk                25-Oct-2024 19:09               28439
kodi-game-libretro-20.1.0-r0.apk                   25-Oct-2024 19:09              123245
kodi-game-libretro-atari800-3.1.0.28-r0.apk        25-Oct-2024 19:09              246506
kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk   25-Oct-2024 19:09               15810
kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk    25-Oct-2024 19:09               15152
kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk     25-Oct-2024 19:09               17139
kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0..> 25-Oct-2024 19:09               21429
kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk    25-Oct-2024 19:09               55121
kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk     25-Oct-2024 19:09               65568
kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk  25-Oct-2024 19:09               20192
kodi-game-libretro-beetle-supergrafx-1.29.0.39-..> 25-Oct-2024 19:09               20343
kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk      25-Oct-2024 19:09               16825
kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk   25-Oct-2024 19:09               17920
kodi-game-libretro-desmume-0.0.1.28-r0.apk         25-Oct-2024 19:09               65118
kodi-game-libretro-dosbox-0.74.0.25-r0.apk         25-Oct-2024 19:09               75559
kodi-game-libretro-fbneo-1.0.0.71-r0.apk           25-Oct-2024 19:09              184373
kodi-game-libretro-frodo-0.0.1.25-r0.apk           25-Oct-2024 19:09              164299
kodi-game-libretro-mame2003-0.78.0.54-r0.apk       25-Oct-2024 19:09             1394381
kodi-game-libretro-mgba-0.11.0.44-r0.apk           25-Oct-2024 19:09               19904
kodi-game-libretro-nestopia-1.52.0.41-r0.apk       25-Oct-2024 19:09               23278
kodi-game-libretro-snes9x-1.62.3.45-r0.apk         25-Oct-2024 19:09               26605
kodi-game-libretro-theodore-0.0.1.32-r0.apk        25-Oct-2024 19:09               16428
kodi-inputstream-adaptive-21.5.9-r0.apk            02-Mar-2025 16:15             1088156
kodi-inputstream-ffmpegdirect-21.3.7-r0.apk        02-Mar-2025 16:15              357047
kodi-inputstream-rtmp-21.1.2-r0.apk                02-Mar-2025 16:15               89896
kodi-peripheral-joystick-20.1.9-r0.apk             25-Oct-2024 19:09              222611
kodi-pvr-hts-21.2.6-r0.apk                         02-Mar-2025 16:15              305950
kodi-pvr-iptvsimple-21.10.2-r0.apk                 02-Mar-2025 16:15              944817
kodi-vfs-libarchive-21.0.2-r0.apk                  02-Mar-2025 16:15              115112
kodi-vfs-rar-20.1.0-r1.apk                         25-Oct-2024 19:09              418458
kodi-vfs-sacd-20.1.0-r1.apk                        25-Oct-2024 19:09               99729
kodi-vfs-sftp-20.2.0-r1.apk                        25-Oct-2024 19:09               56342
koka-3.2.2-r0.apk                                  23-Jul-2025 06:01            32003409
komikku-1.85.0-r0.apk                              22-Aug-2025 08:18              454757
komikku-lang-1.85.0-r0.apk                         22-Aug-2025 08:18              291115
komikku-pyc-1.85.0-r0.apk                          22-Aug-2025 08:18              816748
kompose-1.31.2-r13.apk                             06-Sep-2025 16:20             7029866
kompose-bash-completion-1.31.2-r13.apk             06-Sep-2025 16:20                5727
kompose-fish-completion-1.31.2-r13.apk             06-Sep-2025 16:20                4477
kompose-zsh-completion-1.31.2-r13.apk              06-Sep-2025 16:20                6945
kondo-0.8-r0.apk                                   25-Oct-2024 19:09              667297
kondo-bash-completion-0.8-r0.apk                   25-Oct-2024 19:09                2151
kondo-fish-completion-0.8-r0.apk                   25-Oct-2024 19:09                2146
kondo-zsh-completion-0.8-r0.apk                    25-Oct-2024 19:09                2514
kontainer-1.0.1-r0.apk                             17-Jun-2025 11:01              197434
kopia-0.21.1-r2.apk                                06-Sep-2025 16:20            15865250
kopia-bash-completion-0.21.1-r2.apk                06-Sep-2025 16:20                1805
kopia-zsh-completion-0.21.1-r2.apk                 06-Sep-2025 16:20                1827
kotlin-language-server-1.3.13-r0.apk               02-Apr-2025 17:03            87303345
krdp-6.4.5-r0.apk                                  13-Sep-2025 21:47              193439
krdp-lang-6.4.5-r0.apk                             13-Sep-2025 21:47               55951
krita-plugin-gmic-3.2.4.1-r3.apk                   25-Oct-2024 19:09             2847808
kronosnet-1.30-r0.apk                              27-May-2025 23:07               92780
kronosnet-dev-1.30-r0.apk                          27-May-2025 23:07             2809070
kronosnet-doc-1.30-r0.apk                          27-May-2025 23:07              108262
ktx-4.3.2-r1.apk                                   10-Jun-2025 02:49             1243230
ktx-dev-4.3.2-r1.apk                               10-Jun-2025 02:49               29612
ktx-libs-4.3.2-r1.apk                              10-Jun-2025 02:49             1388669
kube-no-trouble-0.7.3-r8.apk                       06-Sep-2025 16:20            13111728
kubeconform-0.7.0-r1.apk                           06-Sep-2025 16:20             3618499
kubectl-krew-0.4.5-r5.apk                          06-Sep-2025 16:20             4552778
kubectl-oidc_login-1.34.0-r2.apk                   06-Sep-2025 16:20             5483438
kubeone-1.10.0-r3.apk                              06-Sep-2025 16:20            26410063
kubeone-bash-completion-1.10.0-r3.apk              06-Sep-2025 16:20                6845
kubeone-doc-1.10.0-r3.apk                          06-Sep-2025 16:20               20689
kubeone-zsh-completion-1.10.0-r3.apk               06-Sep-2025 16:20                4139
kubepug-1.7.1-r13.apk                              06-Sep-2025 16:20            16116872
kubepug-bash-completion-1.7.1-r13.apk              06-Sep-2025 16:20                5247
kubepug-fish-completion-1.7.1-r13.apk              06-Sep-2025 16:20                4433
kubepug-zsh-completion-1.7.1-r13.apk               06-Sep-2025 16:20                4144
kubeseal-0.32.1-r0.apk                             13-Sep-2025 14:32            11063627
kubeseal-doc-0.32.1-r0.apk                         13-Sep-2025 14:32                5649
kubesplit-0.3.3-r1.apk                             25-Oct-2024 19:09               12975
kubesplit-pyc-0.3.3-r1.apk                         25-Oct-2024 19:09               13270
kuma-cp-2.10.1-r4.apk                              06-Sep-2025 16:20            28278130
kuma-cp-openrc-2.10.1-r4.apk                       06-Sep-2025 16:20                2200
kuma-dp-2.10.1-r4.apk                              06-Sep-2025 16:20            22698033
kuma-dp-openrc-2.10.1-r4.apk                       06-Sep-2025 16:20                2185
kumactl-2.10.1-r4.apk                              06-Sep-2025 16:20            25459065
kumactl-bash-completion-2.10.1-r4.apk              06-Sep-2025 16:20               10524
kumactl-fish-completion-2.10.1-r4.apk              06-Sep-2025 16:20                4424
kumactl-zsh-completion-2.10.1-r4.apk               06-Sep-2025 16:20                4134
lavacli-2.4-r0.apk                                 15-Jun-2025 04:00               50508
lavacli-doc-2.4-r0.apk                             15-Jun-2025 04:00               34987
lavacli-pyc-2.4-r0.apk                             15-Jun-2025 04:00               90282
laze-0.1.38-r0.apk                                 23-Jul-2025 04:04             1047686
laze-bash-completion-0.1.38-r0.apk                 23-Jul-2025 04:04                3220
laze-doc-0.1.38-r0.apk                             23-Jul-2025 04:04                3683
laze-fish-completion-0.1.38-r0.apk                 23-Jul-2025 04:04                3524
laze-zsh-completion-0.1.38-r0.apk                  23-Jul-2025 04:04                3767
lbb-0.10.2-r0.apk                                  28-Sep-2025 18:48             3178314
lbb-doc-0.10.2-r0.apk                              28-Sep-2025 18:48               20485
lcalc-2.1.0-r0.apk                                 03-May-2025 20:03              170846
lcalc-dev-2.1.0-r0.apk                             03-May-2025 20:03               52596
lcalc-doc-2.1.0-r0.apk                             03-May-2025 20:03              447254
lcalc-libs-2.1.0-r0.apk                            03-May-2025 20:03              221825
ldapdomaindump-0.10.0-r0.apk                       30-Jul-2025 19:18               18683
ldapdomaindump-pyc-0.10.0-r0.apk                   30-Jul-2025 19:18               31086
ldc-runtime-cross-1.41.0-r0.apk                    07-Jun-2025 16:12                2557
ldc-runtime-cross-loongarch64-1.41.0-r0.apk        07-Jun-2025 16:12             6878789
ldc-runtime-cross-ppc64le-1.41.0-r0.apk            07-Jun-2025 16:12             7185651
ldc-runtime-cross-riscv64-1.41.0-r0.apk            07-Jun-2025 16:12             7423869
ldc-runtime-cross-x86_64-1.41.0-r0.apk             07-Jun-2025 16:12             6998531
ledmon-1.0.0-r0.apk                                25-Oct-2024 19:09               83073
ledmon-doc-1.0.0-r0.apk                            25-Oct-2024 19:09               14414
lefthook-1.13.5-r0.apk                             30-Sep-2025 09:45             5800960
lefthook-doc-1.13.5-r0.apk                         30-Sep-2025 09:45                2301
legume-1.4.2-r12.apk                               06-Sep-2025 16:20             1477818
legume-doc-1.4.2-r12.apk                           06-Sep-2025 16:20               12618
lem-2.2.0-r0.apk                                   25-Oct-2024 19:09            24622463
lem-common-2.2.0-r0.apk                            25-Oct-2024 19:09               10970
lem-gui-2.2.0-r0.apk                               25-Oct-2024 19:09            26969607
lemmy-0.19.12-r0.apk                               23-Jun-2025 04:45            12606812
lemmy-localdb-0.19.12-r0.apk                       23-Jun-2025 04:45                2479
lemmy-openrc-0.19.12-r0.apk                        23-Jun-2025 04:45                1934
lemmy-ui-0.19.12-r0.apk                            23-Jun-2025 04:45            54104819
lemmy-ui-openrc-0.19.12-r0.apk                     23-Jun-2025 04:45                2186
leptosfmt-0.1.33-r0.apk                            26-Mar-2025 11:50              989241
leptosfmt-doc-0.1.33-r0.apk                        26-Mar-2025 11:50                6185
levmar-dev-2.6-r0.apk                              25-Oct-2024 19:09               49499
lfm-3.1-r4.apk                                     25-Oct-2024 19:09               90244
lfm-doc-3.1-r4.apk                                 25-Oct-2024 19:09                2832
lfm-pyc-3.1-r4.apk                                 25-Oct-2024 19:09              136926
lgogdownloader-3.16-r1.apk                         26-Mar-2025 11:50              360256
lgogdownloader-doc-3.16-r1.apk                     26-Mar-2025 11:50                8714
libabigail-2.3-r0.apk                              25-Oct-2024 19:09              823924
libabigail-bash-completion-2.3-r0.apk              25-Oct-2024 19:09                3034
libabigail-dev-2.3-r0.apk                          25-Oct-2024 19:09             1335989
libabigail-doc-2.3-r0.apk                          25-Oct-2024 19:09               62710
libabigail-tools-2.3-r0.apk                        25-Oct-2024 19:09              107558
libandroidfw-0_git20250903-r0.apk                  22-Sep-2025 13:30              373990
libandroidfw-dev-0_git20250903-r0.apk              22-Sep-2025 13:30                7316
libantlr3c-3.4-r3.apk                              25-Oct-2024 19:09               50637
libantlr3c-dev-3.4-r3.apk                          25-Oct-2024 19:09               59772
libantlr4-4.13.2-r0.apk                            12-Dec-2024 11:12              437034
libantlr4-dev-4.13.2-r0.apk                        12-Dec-2024 11:12              946765
libaudec-0.3.4-r3.apk                              25-Oct-2024 19:09               28344
libaudec-dev-0.3.4-r3.apk                          25-Oct-2024 19:09                4381
libaudec-static-0.3.4-r3.apk                       25-Oct-2024 19:09               31305
libaudec-tools-0.3.4-r3.apk                        25-Oct-2024 19:09               28466
libb64-2.0.0.1-r0.apk                              25-Oct-2024 19:09                4584
libb64-dev-2.0.0.1-r0.apk                          25-Oct-2024 19:09                5672
libb64-doc-2.0.0.1-r0.apk                          25-Oct-2024 19:09                8227
libbamf-0.5.6-r1.apk                               25-Oct-2024 19:09              156312
libbamf-dev-0.5.6-r1.apk                           25-Oct-2024 19:09                6566
libbamf-doc-0.5.6-r1.apk                           25-Oct-2024 19:09               31823
libblastrampoline-5.2.0-r0.apk                     25-Oct-2024 19:09              336636
libblastrampoline-dev-5.2.0-r0.apk                 25-Oct-2024 19:09               96662
libbloom-2.0-r0.apk                                25-Oct-2024 19:09                7864
libbloom-dev-2.0-r0.apk                            25-Oct-2024 19:09                3560
libbraiding-1.3.1-r0.apk                           20-Nov-2024 00:45               92228
libbraiding-dev-1.3.1-r0.apk                       20-Nov-2024 00:45               15430
libbsoncxx-3.8.0-r0.apk                            25-Oct-2024 19:09               45074
libbsoncxx-dev-3.8.0-r0.apk                        25-Oct-2024 19:09               39603
libcec-rpi-6.0.2-r4.apk                            25-Oct-2024 19:09              188723
libcec-rpi-dev-6.0.2-r4.apk                        25-Oct-2024 19:09               25183
libclc-next-22.0.0_pre20250926-r0.apk              27-Sep-2025 11:40            12830614
libcli-1.10.7-r0.apk                               25-Oct-2024 19:09               29692
libcob4-3.2-r0.apk                                 28-Jul-2025 14:02              216468
libcork-0.15.0-r7.apk                              25-Oct-2024 19:09               36452
libcork-dev-0.15.0-r7.apk                          25-Oct-2024 19:09               30703
libcork-tools-0.15.0-r7.apk                        25-Oct-2024 19:09                4965
libcorkipset-1.1.1-r4.apk                          25-Oct-2024 19:09               14000
libcorkipset-dev-1.1.1-r4.apk                      25-Oct-2024 19:09                8286
libcorkipset-tools-1.1.1-r4.apk                    25-Oct-2024 19:09               16073
libcotp-3.1.1-r0.apk                               04-Oct-2025 07:13                9973
libcotp-dev-3.1.1-r0.apk                           04-Oct-2025 07:13                2589
libcpdf-2.8.1-r0.apk                               08-May-2025 12:22             2657689
libcpdf-dev-2.8.1-r0.apk                           08-May-2025 12:22               17150
libcpdf-static-2.8.1-r0.apk                        08-May-2025 12:22             3745338
libctl-4.5.1-r1.apk                                25-Oct-2024 19:09               93633
libctl-dev-4.5.1-r1.apk                            25-Oct-2024 19:09               39478
libctl-doc-4.5.1-r1.apk                            25-Oct-2024 19:09                3087
libcyaml-1.4.2-r0.apk                              25-Oct-2024 19:09               20795
libcyaml-dev-1.4.2-r0.apk                          25-Oct-2024 19:09               13163
libcyaml-doc-1.4.2-r0.apk                          25-Oct-2024 19:09                8853
libcyaml-static-1.4.2-r0.apk                       25-Oct-2024 19:09               23770
libdbusaccess-1.0.20-r1.apk                        10-Aug-2025 01:01               17627
libdbusaccess-dev-1.0.20-r1.apk                    10-Aug-2025 01:01                5116
libdcmtk-3.6.9-r0.apk                              26-Jan-2025 06:27             6479244
libdng-0.2.1-r0.apk                                27-Dec-2024 22:09               11601
libdng-dev-0.2.1-r0.apk                            27-Dec-2024 22:09                3272
libdng-doc-0.2.1-r0.apk                            27-Dec-2024 22:09                4300
libdng-utils-0.2.1-r0.apk                          27-Dec-2024 22:09                6371
libeantic-2.1.0-r1.apk                             13-Jun-2025 04:56               75754
libeantic-dev-2.1.0-r1.apk                         13-Jun-2025 04:56               17921
libecap-1.0.1-r1.apk                               25-Oct-2024 19:09               13605
libecap-dev-1.0.1-r1.apk                           25-Oct-2024 19:09               11700
libecap-static-1.0.1-r1.apk                        25-Oct-2024 19:09               17936
libemf2svg-1.1.0-r2.apk                            25-Oct-2024 19:09              157942
libemf2svg-utils-1.1.0-r2.apk                      25-Oct-2024 19:09               19029
liberasurecode-1.6.3-r1.apk                        25-Oct-2024 19:09               43625
liberasurecode-dev-1.6.3-r1.apk                    25-Oct-2024 19:09               18705
libetebase-0.5.8-r0.apk                            27-Sep-2025 19:40              782289
libetebase-dev-0.5.8-r0.apk                        27-Sep-2025 19:40               11146
libettercap-0.8.3.1-r3.apk                         25-Oct-2024 19:09              201358
libfishsound-1.0.0-r1.apk                          25-Oct-2024 19:09                9747
libfishsound-dev-1.0.0-r1.apk                      25-Oct-2024 19:09               59339
libfishsound-doc-1.0.0-r1.apk                      25-Oct-2024 19:09               77124
libfoma-0.10.0_git20240712-r0.apk                  25-Oct-2024 19:09              105048
libfort-0.4.2-r0.apk                               25-Oct-2024 19:09               32295
libfort-dev-0.4.2-r0.apk                           25-Oct-2024 19:09               17845
libfyaml-0.9-r0.apk                                25-Oct-2024 19:09              275975
libfyaml-dev-0.9-r0.apk                            25-Oct-2024 19:09               43371
libfyaml-doc-0.9-r0.apk                            25-Oct-2024 19:09                7600
libgdcm-3.2.1-r0.apk                               22-Sep-2025 22:55             2623320
libgivaro-4.2.0-r2.apk                             25-Oct-2024 19:09               77513
libgivaro-dev-4.2.0-r2.apk                         25-Oct-2024 19:09              250309
libgivaro-static-4.2.0-r2.apk                      25-Oct-2024 19:09               98295
libglib-testing-0.1.1-r0.apk                       08-May-2025 12:22               13109
libglib-testing-dev-0.1.1-r0.apk                   08-May-2025 12:22                5648
libglib-testing-doc-0.1.1-r0.apk                   08-May-2025 12:22               25612
libgrapheme-2.0.2-r0.apk                           23-Jul-2025 04:04               25764
libgrapheme-dev-2.0.2-r0.apk                       23-Jul-2025 04:04               32576
libgrapheme-doc-2.0.2-r0.apk                       23-Jul-2025 04:04               21149
libguestfs-1.56.1-r0.apk                           23-Jul-2025 04:04              321851
libguestfs-dev-1.56.1-r0.apk                       23-Jul-2025 04:04               30122
libguestfs-doc-1.56.1-r0.apk                       23-Jul-2025 04:04              582199
libguestfs-static-1.56.1-r0.apk                    23-Jul-2025 04:04              521776
libhomfly-1.02_p6-r1.apk                           25-Oct-2024 19:09               15671
libhomfly-dev-1.02_p6-r1.apk                       25-Oct-2024 19:09               19378
libhwpwm-0.4.4-r0.apk                              25-Oct-2024 19:09                5774
libhwpwm-dev-0.4.4-r0.apk                          25-Oct-2024 19:09                5814
libhwpwm-doc-0.4.4-r0.apk                          25-Oct-2024 19:09               13358
libideviceactivation-1.1.1-r5.apk                  30-Oct-2024 22:44               18372
libideviceactivation-dev-1.1.1-r5.apk              30-Oct-2024 22:44                3391
libideviceactivation-doc-1.1.1-r5.apk              30-Oct-2024 22:44                2229
libigraph-0.10.16-r0.apk                           11-Jun-2025 20:19             1212347
libigraph-dev-0.10.16-r0.apk                       11-Jun-2025 20:19               92965
libiio-0.25-r2.apk                                 25-Oct-2024 19:09               54333
libiio-dev-0.25-r2.apk                             25-Oct-2024 19:09               13671
libiio-doc-0.25-r2.apk                             25-Oct-2024 19:09               18288
libiio-pyc-0.25-r2.apk                             25-Oct-2024 19:09               21455
libiio-tools-0.25-r2.apk                           25-Oct-2024 19:09               99433
libiml-1.0.5-r3.apk                                25-Oct-2024 19:09               68314
libiml-dev-1.0.5-r3.apk                            25-Oct-2024 19:09                4038
libiml-static-1.0.5-r3.apk                         25-Oct-2024 19:09               72656
libinfnoise-0.3.3-r0.apk                           26-May-2025 08:58               13198
libirecovery-1.2.1-r0.apk                          30-Oct-2024 22:44               23974
libirecovery-dev-1.2.1-r0.apk                      30-Oct-2024 22:44                4183
libirecovery-progs-1.2.1-r0.apk                    30-Oct-2024 22:44                8688
libiscsi-1.19.0-r2.apk                             25-Oct-2024 19:09               60580
libiscsi-dev-1.19.0-r2.apk                         25-Oct-2024 19:09               20896
libiscsi-doc-1.19.0-r2.apk                         25-Oct-2024 19:09                9501
libiscsi-static-1.19.0-r2.apk                      25-Oct-2024 19:09               75313
libiscsi-utils-1.19.0-r2.apk                       25-Oct-2024 19:09               93261
libjodycode-3.1.1-r0.apk                           25-Oct-2024 19:09                8303
libjodycode-dev-3.1.1-r0.apk                       25-Oct-2024 19:09                4314
libjodycode-doc-3.1.1-r0.apk                       25-Oct-2024 19:09                3751
liblastfm-qt-1.1.10_git20190823-r3.apk             25-Oct-2024 19:09              163888
liblastfm-qt-dev-1.1.10_git20190823-r3.apk         25-Oct-2024 19:09               20088
libm4ri-20240729-r2.apk                            15-Jan-2025 18:06              125708
libm4ri-dev-20240729-r2.apk                        15-Jan-2025 18:06               32266
libm4ri-static-20240729-r2.apk                     15-Jan-2025 18:06              138567
libm4rie-20200125-r5.apk                           15-Jan-2025 18:06              175705
libm4rie-dev-20200125-r5.apk                       15-Jan-2025 18:06               24978
libm4rie-static-20200125-r5.apk                    15-Jan-2025 18:06              193520
libmdbx-0.11.8-r0.apk                              25-Oct-2024 19:09              700029
libmdbx-dbg-0.11.8-r0.apk                          25-Oct-2024 19:09             2479226
libmdbx-dev-0.11.8-r0.apk                          25-Oct-2024 19:09               95429
libmdbx-doc-0.11.8-r0.apk                          25-Oct-2024 19:09                9062
libmdf-1.0.29-r0.apk                               25-Oct-2024 19:09               35602
libmdf-dev-1.0.29-r0.apk                           25-Oct-2024 19:09               14147
libmhash-0.9.9.9-r3.apk                            25-Oct-2024 19:09               98618
libmhash-dev-0.9.9.9-r3.apk                        25-Oct-2024 19:09              111399
libmhash-doc-0.9.9.9-r3.apk                        25-Oct-2024 19:09                8343
libmpfi-1.5.4-r2.apk                               25-Oct-2024 19:09               36986
libmpfi-dev-1.5.4-r2.apk                           25-Oct-2024 19:09                5510
libmpfi-doc-1.5.4-r2.apk                           25-Oct-2024 19:09               19191
libmpfi-static-1.5.4-r2.apk                        25-Oct-2024 19:09               54329
libmrss-0.19.2-r1.apk                              25-Oct-2024 19:09               20108
libmrss-dev-0.19.2-r1.apk                          25-Oct-2024 19:09               31175
libmustache-0.5.0-r1.apk                           25-Oct-2024 19:09               83387
libmygpo-qt-1.1.0-r2.apk                           25-Oct-2024 19:09               85680
libmygpo-qt-dev-1.1.0-r2.apk                       25-Oct-2024 19:09               12141
libmysofa-1.3.2-r0.apk                             25-Oct-2024 19:09               26076
libmysofa-dev-1.3.2-r0.apk                         25-Oct-2024 19:09                7182
libmysofa-tools-1.3.2-r0.apk                       25-Oct-2024 19:09             1122248
libneo4j-client-2.2.0-r3.apk                       25-Oct-2024 19:09               71206
libneo4j-client-dev-2.2.0-r3.apk                   25-Oct-2024 19:09              120160
libnest2d-0.4-r7.apk                               06-Feb-2025 04:44                1246
libnest2d-dev-0.4-r7.apk                           06-Feb-2025 04:44               71551
libnfcdef-1.0.1-r1.apk                             10-Aug-2025 01:01               13481
libnfcdef-dev-1.0.1-r1.apk                         10-Aug-2025 01:01                5869
libnih-1.0.3-r7.apk                                25-Oct-2024 19:09              113033
libnih-dev-1.0.3-r7.apk                            25-Oct-2024 19:09              124187
libnih-doc-1.0.3-r7.apk                            25-Oct-2024 19:09                2770
libntl-11.5.1-r4.apk                               25-Oct-2024 19:09             1103534
libntl-dev-11.5.1-r4.apk                           25-Oct-2024 19:09              162843
libntl-doc-11.5.1-r4.apk                           25-Oct-2024 19:09              382541
libntl-static-11.5.1-r4.apk                        25-Oct-2024 19:09             1723592
libnxml-0.18.3-r0.apk                              25-Oct-2024 19:09               20395
libnxml-dev-0.18.3-r0.apk                          25-Oct-2024 19:09               29289
libofx-0.10.9-r1.apk                               25-Oct-2024 19:09               64518
libofx-dev-0.10.9-r1.apk                           25-Oct-2024 19:09               20052
libofx-tools-0.10.9-r1.apk                         25-Oct-2024 19:09              107072
liboggz-1.1.1-r2.apk                               25-Oct-2024 19:09              121591
liboggz-dev-1.1.1-r2.apk                           25-Oct-2024 19:09              177257
liboggz-doc-1.1.1-r2.apk                           25-Oct-2024 19:09              137449
libopensles-standalone-0_git20250913-r0.apk        22-Sep-2025 13:30               37713
libopensles-standalone-dbg-0_git20250913-r0.apk    22-Sep-2025 13:30              251746
libopensles-standalone-dev-0_git20250913-r0.apk    22-Sep-2025 13:30                1622
libqofono-0.124-r0.apk                             10-Jan-2025 16:19                1246
libqofono-dev-0.124-r0.apk                         10-Jan-2025 16:19               43105
libqofono-qt5-0.124-r0.apk                         10-Jan-2025 16:19              292656
libqofono-qt6-0.124-r0.apk                         10-Jan-2025 16:19              413624
libqtdbusmock-0.9.1-r2.apk                         17-Feb-2025 15:07               69350
libqtdbustest-0.4.0-r0.apk                         03-Sep-2025 19:33               33796
libre-4.1.0-r0.apk                                 03-Oct-2025 07:59              302854
libre-dev-4.1.0-r0.apk                             03-Oct-2025 07:59              497472
libreoffice-voikko-5.0_git20200127-r0.apk          25-Oct-2024 19:09               46459
librespot-0.7.1-r0.apk                             03-Sep-2025 18:27             2164779
librespot-openrc-0.7.1-r0.apk                      03-Sep-2025 18:27                1913
libresprite-1.2-r0.apk                             13-Apr-2025 22:16            15448638
libresprite-doc-1.2-r0.apk                         13-Apr-2025 22:16               15076
libretro-atari800-0_git20240924-r0.apk             25-Oct-2024 19:09              274625
libretro-beetle-pce-fast-0_git20220205-r0.apk      25-Oct-2024 19:09              455541
libretro-beetle-pcfx-0_git20220409-r0.apk          25-Oct-2024 19:09              322817
libretro-beetle-saturn-0_git20220417-r0.apk        25-Oct-2024 19:09             1512246
libretro-beetle-supergrafx-0_git20220218-r0.apk    25-Oct-2024 19:09              460325
libretro-bluemsx-0_git20240808-r0.apk              25-Oct-2024 19:09              648883
libretro-cannonball-0_git20220309-r6.apk           25-Oct-2024 19:09              244035
libretro-cap32-0_git20220419-r0.apk                25-Oct-2024 19:09              306457
libretro-crocods-0_git20210314-r1.apk              25-Oct-2024 19:09              285163
libretro-daphne-0_git20210108-r2.apk               25-Oct-2024 19:09              546314
libretro-dinothawr-0_git20220401-r0.apk            25-Oct-2024 19:09              128776
libretro-dolphin-0_git20220407-r2.apk              25-Oct-2024 19:09             3265907
libretro-fbneo-0_git20220416-r0.apk                25-Oct-2024 19:09            11801936
libretro-flycast-0_git20220406-r3.apk              10-Apr-2025 20:50             1705544
libretro-freeintv-0_git20220319-r0.apk             25-Oct-2024 19:09               36005
libretro-frodo-0_git20221221-r0.apk                25-Oct-2024 19:09              165719
libretro-fuse-0_git20220417-r0.apk                 25-Oct-2024 19:09              911694
libretro-genesis-plus-gx-0_git20230503-r0.apk      25-Oct-2024 19:09             1072999
libretro-gme-0_git20240628-r0.apk                  25-Oct-2024 19:09              190050
libretro-gong-0_git20220319-r0.apk                 25-Oct-2024 19:09                8250
libretro-gw-0_git20220410-r0.apk                   25-Oct-2024 19:09              191325
libretro-mame2003-0_git20240904-r0.apk             25-Oct-2024 19:09             6772493
libretro-mu-0_git20220317-r0.apk                   25-Oct-2024 19:09              172088
libretro-neocd-0_git20220325-r1.apk                25-Oct-2024 19:09              434862
libretro-nxengine-0_git20220301-r0.apk             25-Oct-2024 19:09              305021
libretro-openlara-0_git20210121-r0.apk             25-Oct-2024 19:09              513579
libretro-opera-0_git20211214-r0.apk                25-Oct-2024 19:09              175789
libretro-parallel-n64-0_git20220406-r0.apk         25-Oct-2024 19:09              892983
libretro-pcsx-rearmed-0_git20220409-r0.apk         25-Oct-2024 19:09              502076
libretro-picodrive-0_git20220405-r0.apk            25-Oct-2024 19:09              558278
libretro-pocketcdg-0_git20220327-r0.apk            25-Oct-2024 19:09               88455
libretro-ppsspp-0_git20210516-r15.apk              10-Apr-2025 20:50             2423581
libretro-scummvm-0_git20210325-r0.apk              25-Oct-2024 19:09            20583260
libretro-snes9x-0_git20240819-r0.apk               25-Oct-2024 19:09              654061
libretro-theodore-3.1-r0.apk                       25-Oct-2024 19:09              893460
libretro-tyrquake-0_git20220409-r0.apk             25-Oct-2024 19:09              404336
libretro-xrick-0_git20220331-r0.apk                25-Oct-2024 19:09              122000
libsbsms-2.3.0-r0.apk                              25-Oct-2024 19:09              105121
libsbsms-dev-2.3.0-r0.apk                          25-Oct-2024 19:09              127139
libsds-2.0.0-r1.apk                                25-Oct-2024 19:09                9832
libsds-dev-2.0.0-r1.apk                            25-Oct-2024 19:09                3866
libsemanage-3.6-r1.apk                             25-Oct-2024 19:09               94944
libsemanage-dev-3.6-r1.apk                         25-Oct-2024 19:09              153220
libsemanage-doc-3.6-r1.apk                         25-Oct-2024 19:09               23464
libsemigroups-2.7.3-r1.apk                         14-Dec-2024 19:38              723657
libsemigroups-dev-2.7.3-r1.apk                     14-Dec-2024 19:38              342533
libsemigroups-static-2.7.3-r1.apk                  14-Dec-2024 19:38             1583498
libserialport-0.1.1-r1.apk                         25-Oct-2024 19:09               19312
libserialport-dev-0.1.1-r1.apk                     25-Oct-2024 19:09               39945
libshadowsocks-libev-3.3.5-r4.apk                  25-Oct-2024 19:09               50315
libsigrok-0.5.2-r3.apk                             25-Oct-2024 19:09              492749
libsigrok-dev-0.5.2-r3.apk                         25-Oct-2024 19:09               31408
libsigrokdecode-0.5.3-r4.apk                       25-Oct-2024 19:09              343601
libsigrokdecode-dev-0.5.3-r4.apk                   25-Oct-2024 19:09               40083
libsimpleble-0.10.3-r0.apk                         12-Jul-2025 06:30              200615
libsimpleble-c-0.10.3-r0.apk                       12-Jul-2025 06:30               16266
libsimplebluez-0.10.3-r0.apk                       12-Jul-2025 06:30              144861
libsirocco-2.1.1-r0.apk                            31-Aug-2025 17:16               61686
libsirocco-dev-2.1.1-r0.apk                        31-Aug-2025 17:16                2253
libspatialindex-2.1.0-r0.apk                       31-May-2025 10:29              309335
libspatialindex-dev-2.1.0-r0.apk                   31-May-2025 10:29               21924
libstirshaken-0_git20240208-r4.apk                 03-May-2025 20:03               53014
libstirshaken-dev-0_git20240208-r4.apk             03-May-2025 20:03               83293
libstirshaken-tools-0_git20240208-r4.apk           03-May-2025 20:03              171172
libsymmetrica-3.0.1-r2.apk                         25-Oct-2024 19:09             3834751
libsymmetrica-dev-3.0.1-r2.apk                     25-Oct-2024 19:09               32828
libsymmetrica-static-3.0.1-r2.apk                  25-Oct-2024 19:09             4897687
libtcmu-1.6.0-r6.apk                               25-Oct-2024 19:09               38673
libtcmu-dev-1.6.0-r6.apk                           25-Oct-2024 19:09                1547
libtins-4.5-r1.apk                                 25-Oct-2024 19:09              309860
libtins-dev-4.5-r1.apk                             25-Oct-2024 19:09              144714
libtins-doc-4.5-r1.apk                             25-Oct-2024 19:09                2372
libtsm-4.1.0-r0.apk                                08-Jul-2025 06:30               27432
libtsm-dev-4.1.0-r0.apk                            08-Jul-2025 06:30               11233
libucl-0.9.0-r0.apk                                25-Oct-2024 19:09               55195
libucl-dev-0.9.0-r0.apk                            25-Oct-2024 19:09               84669
libucl-doc-0.9.0-r0.apk                            25-Oct-2024 19:09                9072
libuecc-7-r4.apk                                   03-Mar-2025 16:40                9904
libuecc-dev-7-r4.apk                               03-Mar-2025 16:40                4747
libuninameslist-20230916-r0.apk                    25-Oct-2024 19:09              576025
libuninameslist-dev-20230916-r0.apk                25-Oct-2024 19:09                3546
libuninameslist-doc-20230916-r0.apk                25-Oct-2024 19:09                2089
libupstart-2.0.3-r5.apk                            25-Oct-2024 19:09               53528
libvdpau-va-gl-0.4.2-r0.apk                        25-Oct-2024 19:09               57111
libvisio2svg-0.5.5-r3.apk                          25-Oct-2024 19:09               16082
libvisio2svg-dev-0.5.5-r3.apk                      25-Oct-2024 19:09                2992
libvisio2svg-utils-0.5.5-r3.apk                    25-Oct-2024 19:09              119989
libvmaf-3.0.0-r0.apk                               25-Oct-2024 19:09              342091
libvmaf-dev-3.0.0-r0.apk                           25-Oct-2024 19:09              202053
libvoikko-4.3.2-r1.apk                             25-Oct-2024 19:09              132952
libvoikko-dev-4.3.2-r1.apk                         25-Oct-2024 19:09               10146
libvoikko-doc-4.3.2-r1.apk                         25-Oct-2024 19:09                5862
libwasmtime-34.0.1-r0.apk                          23-Jul-2025 04:04             3044548
libwasmtime-static-34.0.1-r0.apk                   23-Jul-2025 04:04             5678576
libwbxml-0.11.8-r0.apk                             25-Oct-2024 19:09               75545
libwbxml-dev-0.11.8-r0.apk                         25-Oct-2024 19:09                9174
libwbxml-doc-0.11.8-r0.apk                         25-Oct-2024 19:09               28946
libwhich-1.2.0-r0.apk                              25-Oct-2024 19:09                4578
libxml++-5.4.0-r0.apk                              13-Feb-2025 07:45               65844
libxml++-dev-5.4.0-r0.apk                          13-Feb-2025 07:45               30070
libxo-1.7.5-r0.apk                                 12-Jan-2025 22:45              190320
libxo-dev-1.7.5-r0.apk                             12-Jan-2025 22:45               78354
libxo-doc-1.7.5-r0.apk                             12-Jan-2025 22:45               64327
libzn_poly-0.9.2-r2.apk                            25-Oct-2024 19:09               51090
libzn_poly-dev-0.9.2-r2.apk                        25-Oct-2024 19:09                8364
libzn_poly-static-0.9.2-r2.apk                     25-Oct-2024 19:09               55154
libzrtpcpp-4.7.0-r0.apk                            04-Jan-2025 21:55              162286
libzrtpcpp-dev-4.7.0-r0.apk                        04-Jan-2025 21:55               38763
libzvbi-0.2.44-r0.apk                              11-Mar-2025 22:54              224361
libzvbi-dev-0.2.44-r0.apk                          11-Mar-2025 22:54               14649
libzvbi-static-0.2.44-r0.apk                       11-Mar-2025 22:54              295658
licenseheaders-0.8.8-r4.apk                        25-Oct-2024 19:09               18318
licenseheaders-pyc-0.8.8-r4.apk                    25-Oct-2024 19:09               18852
lidarr-2.13.3.4711-r0.apk                          09-Sep-2025 13:26            21411990
lidarr-openrc-2.13.3.4711-r0.apk                   09-Sep-2025 13:26                2088
lima-1.0.7-r5.apk                                  06-Sep-2025 16:20            10757329
lima-bash-completion-1.0.7-r5.apk                  06-Sep-2025 16:20                6243
lima-doc-1.0.7-r5.apk                              06-Sep-2025 16:20               52704
lima-fish-completion-1.0.7-r5.apk                  06-Sep-2025 16:20                4427
lima-guestagent-1.0.7-r5.apk                       06-Sep-2025 16:20            13268190
lima-zsh-completion-1.0.7-r5.apk                   06-Sep-2025 16:20                4136
limkd-0.1.2-r0.apk                                 25-Oct-2024 19:09               55706
limkd-doc-0.1.2-r0.apk                             25-Oct-2024 19:09                3033
limnoria-20240828-r0.apk                           25-Oct-2024 19:09             1130321
limnoria-doc-20240828-r0.apk                       25-Oct-2024 19:09                8603
limnoria-pyc-20240828-r0.apk                       25-Oct-2024 19:09             1274959
linkchecker-10.6.0-r0.apk                          10-Aug-2025 11:38              185446
linkchecker-doc-10.6.0-r0.apk                      10-Aug-2025 11:38               40003
linkchecker-pyc-10.6.0-r0.apk                      10-Aug-2025 11:38              259756
linkquisition-1.6.1-r8.apk                         06-Sep-2025 16:20            12330277
linphone-5.3.38-r0.apk                             25-Oct-2024 19:09             9454558
linphone-dev-5.3.38-r0.apk                         25-Oct-2024 19:09              255711
linphone-libs-5.3.38-r0.apk                        25-Oct-2024 19:09             2920666
linux-apfs-rw-src-0.3.8-r0.apk                     25-Oct-2024 19:09              201795
linux-asahi-6.16.8_p1-r0.apk                       25-Sep-2025 22:00            21415813
linux-asahi-dev-6.16.8_p1-r0.apk                   25-Sep-2025 22:00            23816223
linux-elm-6.16.5-r0.apk                            09-Sep-2025 09:47            20096886
linux-gru-6.11.0-r0.apk                            25-Oct-2024 19:09            27300397
linux-openvfd-0_git20220906-r0.apk                 25-Oct-2024 19:09                9227
linux-timemachine-1.3.2-r0.apk                     25-Oct-2024 19:09                5175
linuxkit-1.6.0-r3.apk                              06-Sep-2025 16:20            13087045
linuxkit-doc-1.6.0-r3.apk                          06-Sep-2025 16:20               10503
linuxptp-4.4-r0.apk                                20-Nov-2024 00:45                1248
linuxptp-doc-4.4-r0.apk                            20-Nov-2024 00:45               39364
linuxptp-hwstamp_ctl-4.4-r0.apk                    20-Nov-2024 00:45                4784
linuxptp-nsm-4.4-r0.apk                            20-Nov-2024 00:45               32852
linuxptp-phc2sys-4.4-r0.apk                        20-Nov-2024 00:45               36920
linuxptp-phc_ctl-4.4-r0.apk                        20-Nov-2024 00:45               10397
linuxptp-pmc-4.4-r0.apk                            20-Nov-2024 00:45               35860
linuxptp-ptp4l-4.4-r0.apk                          20-Nov-2024 00:45               80274
linuxptp-timemaster-4.4-r0.apk                     20-Nov-2024 00:45               16811
linuxptp-ts2phc-4.4-r0.apk                         20-Nov-2024 00:45               36307
linuxptp-tz2alt-4.4-r0.apk                         20-Nov-2024 00:45               20332
linuxwave-0.3.0-r0.apk                             26-May-2025 18:36              176632
linuxwave-doc-0.3.0-r0.apk                         26-May-2025 18:36                3335
liquibase-4.9.1-r0.apk                             25-Oct-2024 19:09            33161791
liquibase-doc-4.9.1-r0.apk                         25-Oct-2024 19:09               58253
liquid-dsp-1.5.0-r0.apk                            25-Oct-2024 19:09              353917
liquid-dsp-dev-1.5.0-r0.apk                        25-Oct-2024 19:09              531002
litehtml-0.9-r2.apk                                17-Feb-2025 15:07              312103
litehtml-dev-0.9-r2.apk                            17-Feb-2025 15:07               42649
litehtml-static-0.9-r2.apk                         17-Feb-2025 15:07              521861
litterbox-1.9-r2.apk                               13-Sep-2025 01:00               34934
litterbox-doc-1.9-r2.apk                           13-Sep-2025 01:00                7381
lizardfs-3.13.0-r17.apk                            19-Jun-2025 08:44              110333
lizardfs-bash-completion-3.13.0-r17.apk            19-Jun-2025 08:44                1932
lizardfs-cgi-3.13.0-r17.apk                        19-Jun-2025 08:44               32215
lizardfs-cgiserv-3.13.0-r17.apk                    19-Jun-2025 08:44                7544
lizardfs-cgiserv-openrc-3.13.0-r17.apk             19-Jun-2025 08:44                2022
lizardfs-chunkserver-3.13.0-r17.apk                19-Jun-2025 08:44              317951
lizardfs-chunkserver-openrc-3.13.0-r17.apk         19-Jun-2025 08:44                1698
lizardfs-client-3.13.0-r17.apk                     19-Jun-2025 08:44             1132466
lizardfs-doc-3.13.0-r17.apk                        19-Jun-2025 08:44               11717
lizardfs-master-3.13.0-r17.apk                     19-Jun-2025 08:44              860550
lizardfs-master-openrc-3.13.0-r17.apk              19-Jun-2025 08:44                1686
lizardfs-metalogger-3.13.0-r17.apk                 19-Jun-2025 08:44              129416
lizardfs-metalogger-openrc-3.13.0-r17.apk          19-Jun-2025 08:44                1688
lkrg-0.9.6-r1.apk                                  09-Jan-2025 11:44              107242
lkrg-doc-0.9.6-r1.apk                              09-Jan-2025 11:44               22086
lld-next-22.0.0_pre20250926-r0.apk                 27-Sep-2025 11:40                8858
lld-next-dev-22.0.0_pre20250926-r0.apk             27-Sep-2025 11:40               19011
lld-next-libs-22.0.0_pre20250926-r0.apk            27-Sep-2025 11:40             1942354
lldap-0.6.1-r0.apk                                 26-Mar-2025 11:51             9898656
lldb-next-22.0.0_pre20250926-r0.apk                27-Sep-2025 11:40             6987564
lldb-next-dev-22.0.0_pre20250926-r0.apk            27-Sep-2025 11:40              808618
lldb-next-pyc-22.0.0_pre20250926-r0.apk            27-Sep-2025 11:40              318436
llmnrd-0.7-r1.apk                                  25-Oct-2024 19:09               18780
llmnrd-doc-0.7-r1.apk                              25-Oct-2024 19:09                3108
llmnrd-openrc-0.7-r1.apk                           25-Oct-2024 19:09                1930
llvm-next-22.0.0_pre20250926-r0.apk                27-Sep-2025 11:40            22921534
llvm-next-dev-22.0.0_pre20250926-r0.apk            27-Sep-2025 11:40             9548856
llvm-next-gtest-22.0.0_pre20250926-r0.apk          27-Sep-2025 11:40              491151
llvm-next-libc++-22.0.0_pre20250926-r0.apk         27-Sep-2025 11:40              373900
llvm-next-libc++-dev-22.0.0_pre20250926-r0.apk     27-Sep-2025 11:40             1846482
llvm-next-libc++-static-22.0.0_pre20250926-r0.apk  27-Sep-2025 11:40              744141
llvm-next-libgcc-22.0.0_pre20250926-r0.apk         27-Sep-2025 11:40               56310
llvm-next-libgcc-dev-22.0.0_pre20250926-r0.apk     27-Sep-2025 11:40                2530
llvm-next-libs-22.0.0_pre20250926-r0.apk           27-Sep-2025 11:40            33620702
llvm-next-libunwind-22.0.0_pre20250926-r0.apk      27-Sep-2025 11:40               17922
llvm-next-libunwind-dev-22.0.0_pre20250926-r0.apk  27-Sep-2025 11:40               20375
llvm-next-libunwind-static-22.0.0_pre20250926-r..> 27-Sep-2025 11:40               27811
llvm-next-linker-tools-22.0.0_pre20250926-r0.apk   27-Sep-2025 11:40             1389808
llvm-next-lit-22.0.0_pre20250926-r0.apk            27-Sep-2025 11:40               98271
llvm-next-lit-pyc-22.0.0_pre20250926-r0.apk        27-Sep-2025 11:40              166202
llvm-next-offload-22.0.0_pre20250926-r0.apk        27-Sep-2025 11:40              254930
llvm-next-offload-dev-22.0.0_pre20250926-r0.apk    27-Sep-2025 11:40              391781
llvm-next-openmp-22.0.0_pre20250926-r0.apk         27-Sep-2025 11:40              616601
llvm-next-openmp-dev-22.0.0_pre20250926-r0.apk     27-Sep-2025 11:40              134704
llvm-next-polly-22.0.0_pre20250926-r0.apk          27-Sep-2025 11:40             2974571
llvm-next-runtime-22.0.0_pre20250926-r0.apk        27-Sep-2025 11:40              498960
llvm-next-static-22.0.0_pre20250926-r0.apk         27-Sep-2025 11:40            72010906
llvm-next-test-utils-22.0.0_pre20250926-r0.apk     27-Sep-2025 11:40              624542
lockrun-1.1.3-r1.apk                               25-Oct-2024 19:09                5640
log4cpp-1.1.4-r1.apk                               25-Oct-2024 19:09               70661
log4cpp-dev-1.1.4-r1.apk                           25-Oct-2024 19:09               39819
log4cxx-1.1.0-r3.apk                               17-Feb-2025 15:07              519317
log4cxx-dev-1.1.0-r3.apk                           17-Feb-2025 15:07              138444
logc-0.5.0-r1.apk                                  13-Jun-2025 15:19                8733
logc-argp-0.5.0-r1.apk                             13-Jun-2025 15:19               16706
logc-config-0.5.0-r1.apk                           13-Jun-2025 15:19                5482
logc-czmq-0.1.0-r0.apk                             25-Oct-2024 19:09                4374
logc-dev-0.5.0-r1.apk                              13-Jun-2025 15:19                8795
logc-libevent-0.1.0-r0.apk                         25-Oct-2024 19:09                3657
logc-libs-0.1.0-r0.apk                             25-Oct-2024 19:09                1487
logc-libs-dev-0.1.0-r0.apk                         25-Oct-2024 19:09                5802
logtop-0.7-r1.apk                                  10-Aug-2025 01:01               13955
logtop-doc-0.7-r1.apk                              10-Aug-2025 01:01                2913
logtop-libs-0.7-r1.apk                             10-Aug-2025 01:01               15051
logwatch-7.10-r1.apk                               25-Oct-2024 19:09              495068
logwatch-doc-7.10-r1.apk                           25-Oct-2024 19:09               39012
lol-html-1.1.1-r1.apk                              25-Oct-2024 19:09              434797
lol-html-dev-1.1.1-r1.apk                          25-Oct-2024 19:09                6671
lolcat-1.4-r0.apk                                  25-Oct-2024 19:09               10822
lomiri-0.5.0-r2.apk                                29-Sep-2025 10:29             4134051
lomiri-action-api-1.2.0-r0.apk                     11-Apr-2025 05:46               81084
lomiri-action-api-dev-1.2.0-r0.apk                 11-Apr-2025 05:46                5083
lomiri-api-0.2.2-r1.apk                            17-Feb-2025 15:07               33731
lomiri-api-dev-0.2.2-r1.apk                        17-Feb-2025 15:07               32834
lomiri-app-launch-0.1.12-r3.apk                    29-Sep-2025 10:29              351438
lomiri-app-launch-dev-0.1.12-r3.apk                29-Sep-2025 10:29               20556
lomiri-calculator-app-4.1.0-r0.apk                 19-Aug-2025 20:08              390884
lomiri-calculator-app-lang-4.1.0-r0.apk            19-Aug-2025 20:08               42466
lomiri-clock-app-4.1.1-r0.apk                      09-May-2025 17:27              228707
lomiri-clock-app-lang-4.1.1-r0.apk                 09-May-2025 17:27              466948
lomiri-content-hub-2.1.0-r0.apk                    13-Apr-2025 22:06              283234
lomiri-content-hub-dev-2.1.0-r0.apk                13-Apr-2025 22:06               11479
lomiri-content-hub-doc-2.1.0-r0.apk                13-Apr-2025 22:06             1448551
lomiri-content-hub-lang-2.1.0-r0.apk               13-Apr-2025 22:06               47771
lomiri-docviewer-app-3.1.2-r0.apk                  19-Aug-2025 20:08              234715
lomiri-docviewer-app-doc-3.1.2-r0.apk              19-Aug-2025 20:08                2071
lomiri-docviewer-app-lang-3.1.2-r0.apk             19-Aug-2025 20:08              140876
lomiri-download-manager-0.2.2-r0.apk               24-Sep-2025 16:14              585057
lomiri-download-manager-dev-0.2.2-r0.apk           24-Sep-2025 16:14               17323
lomiri-download-manager-doc-0.2.2-r0.apk           24-Sep-2025 16:14             3568185
lomiri-download-manager-lang-0.2.2-r0.apk          24-Sep-2025 16:14               30337
lomiri-filemanager-app-1.1.4-r0.apk                19-Aug-2025 20:08              343128
lomiri-filemanager-app-lang-1.1.4-r0.apk           19-Aug-2025 20:08              183966
lomiri-gallery-app-3.0.2-r2.apk                    19-Aug-2025 09:31             3894887
lomiri-gallery-app-lang-3.0.2-r2.apk               19-Aug-2025 09:31              108799
lomiri-history-service-0.6-r14.apk                 26-Sep-2025 12:14              357842
lomiri-history-service-dev-0.6-r14.apk             26-Sep-2025 12:14               11382
lomiri-indicator-location-25.4.22-r0.apk           19-May-2025 12:47               29225
lomiri-indicator-location-lang-25.4.22-r0.apk      19-May-2025 12:47               27893
lomiri-indicator-network-1.1.2-r0.apk              08-Sep-2025 01:00              596094
lomiri-indicator-network-dev-1.1.2-r0.apk          08-Sep-2025 01:00                9763
lomiri-indicator-network-doc-1.1.2-r0.apk          08-Sep-2025 01:00                2109
lomiri-indicator-network-lang-1.1.2-r0.apk         08-Sep-2025 01:00              204230
lomiri-lang-0.5.0-r2.apk                           29-Sep-2025 10:29              275780
lomiri-libusermetrics-1.3.3-r1.apk                 17-Feb-2025 15:07              174621
lomiri-libusermetrics-dev-1.3.3-r1.apk             17-Feb-2025 15:07                7985
lomiri-libusermetrics-doc-1.3.3-r1.apk             17-Feb-2025 15:07              233094
lomiri-libusermetrics-lang-1.3.3-r1.apk            17-Feb-2025 15:07               44215
lomiri-location-service-3.3.0-r4.apk               26-Jun-2025 14:01             2173373
lomiri-location-service-dev-3.3.0-r4.apk           26-Jun-2025 14:01               30559
lomiri-location-service-doc-3.3.0-r4.apk           26-Jun-2025 14:01                2941
lomiri-location-service-lang-3.3.0-r4.apk          26-Jun-2025 14:01               26411
lomiri-notifications-1.3.1-r0.apk                  10-Jan-2025 10:08               98288
lomiri-schemas-0.1.9-r0.apk                        01-Oct-2025 17:03               10663
lomiri-settings-components-1.1.2-r0.apk            10-Jan-2025 10:08              227550
lomiri-settings-components-lang-1.1.2-r0.apk       10-Jan-2025 10:08              100150
lomiri-sounds-25.01-r0.apk                         19-Aug-2025 20:08            18837787
lomiri-system-settings-1.3.2-r0.apk                09-May-2025 17:27             1111168
lomiri-system-settings-lang-1.3.2-r0.apk           09-May-2025 17:27              866384
lomiri-telephony-service-0.6.1-r9.apk              26-Sep-2025 12:14              992801
lomiri-telephony-service-lang-0.6.1-r9.apk         26-Sep-2025 12:14              110164
lomiri-terminal-app-2.0.5-r0.apk                   09-May-2025 17:27               65149
lomiri-terminal-app-doc-2.0.5-r0.apk               09-May-2025 17:27                2728
lomiri-terminal-app-lang-2.0.5-r0.apk              09-May-2025 17:27               93622
lomiri-thumbnailer-3.0.4-r3.apk                    16-Sep-2025 09:04              221427
lomiri-thumbnailer-dev-3.0.4-r3.apk                16-Sep-2025 09:04                5224
lomiri-thumbnailer-doc-3.0.4-r3.apk                16-Sep-2025 09:04                1569
lomiri-trust-store-2.0.2-r12.apk                   29-Sep-2025 10:29              958206
lomiri-trust-store-dev-2.0.2-r12.apk               29-Sep-2025 10:29                9347
lomiri-trust-store-lang-2.0.2-r12.apk              29-Sep-2025 10:29               28411
lomiri-ui-extras-0.7.0-r0.apk                      11-Apr-2025 05:46              263266
lomiri-ui-extras-lang-0.7.0-r0.apk                 11-Apr-2025 05:46               52855
lomiri-ui-toolkit-1.3.5110-r3.apk                  29-Sep-2025 10:29             1345033
lomiri-ui-toolkit-dev-1.3.5110-r3.apk              29-Sep-2025 10:29              171805
lomiri-ui-toolkit-lang-1.3.5110-r3.apk             29-Sep-2025 10:29               98834
lomiri-url-dispatcher-0.1.4-r0.apk                 09-May-2025 17:27               45718
lomiri-url-dispatcher-dev-0.1.4-r0.apk             09-May-2025 17:27                3390
lomiri-url-dispatcher-lang-0.1.4-r0.apk            09-May-2025 17:27               26502
lomiri-weather-app-6.0.2-r0.apk                    11-Mar-2025 12:02              307225
lomiri-weather-app-lang-6.0.2-r0.apk               11-Mar-2025 12:02              219165
lotide-0.15.0-r0.apk                               25-Oct-2024 19:09             3504975
lotide-openrc-0.15.0-r0.apk                        25-Oct-2024 19:09                3194
lout-3.42.2-r0.apk                                 25-Oct-2024 19:09             1458803
lout-doc-3.42.2-r0.apk                             25-Oct-2024 19:09              463392
lowjs-1.6.2-r2.apk                                 25-Oct-2024 19:09             1404250
lowjs-doc-1.6.2-r2.apk                             25-Oct-2024 19:09                3042
lrcalc-2.1-r1.apk                                  25-Oct-2024 19:09               13217
lrcalc-dev-2.1-r1.apk                              25-Oct-2024 19:09               11608
lrcalc-libs-2.1-r1.apk                             25-Oct-2024 19:09               27075
lsdvd-0.17-r0.apk                                  25-Oct-2024 19:09               13921
lsdvd-doc-0.17-r0.apk                              25-Oct-2024 19:09                2526
lshell-0.9.18-r12.apk                              06-Sep-2025 16:20               37089
lshell-doc-0.9.18-r12.apk                          06-Sep-2025 16:20               25711
lshell-pyc-0.9.18-r12.apk                          06-Sep-2025 16:20               35894
lsix-1.8.2-r0.apk                                  25-Oct-2024 19:09                6665
lsmash-2.14.5-r2.apk                               25-Oct-2024 19:09              286179
lsmash-dev-2.14.5-r2.apk                           25-Oct-2024 19:09              374403
lua-editorconfig-0.3.0-r0.apk                      25-Oct-2024 19:09                1225
lua-fn-0.1.0-r0.apk                                25-Oct-2024 19:09                3460
lua-inet-0.2.0-r1.apk                              25-Oct-2024 19:09                9345
lua-lanes-3.16.0-r1.apk                            25-Oct-2024 19:09                1479
lua-lcurses-9.0.0-r0.apk                           25-Oct-2024 19:09                1170
lua-libmodbus-0.6.1-r0.apk                         25-Oct-2024 19:09                1204
lua-libmodbus-doc-0.6.1-r0.apk                     25-Oct-2024 19:09               19560
lua-linenoise-0.9-r1.apk                           25-Oct-2024 19:09                1200
lua-luastatic-0.0.12-r1.apk                        25-Oct-2024 19:09                1497
lua-lupa-1.0-r0.apk                                25-Oct-2024 19:09               20039
lua-lut-1.2.1-r0.apk                               25-Oct-2024 19:09               89175
lua-psl-0.3-r0.apk                                 25-Oct-2024 19:09                1129
lua-resty-redis-0.29-r0.apk                        25-Oct-2024 19:09                5450
lua-resty-upload-0.11-r0.apk                       25-Oct-2024 19:09                3699
lua-xml-1.1.3-r2.apk                               25-Oct-2024 19:09                1464
lua5.1-lanes-3.16.0-r1.apk                         25-Oct-2024 19:09               56483
lua5.1-lcurses-9.0.0-r0.apk                        25-Oct-2024 19:09               23143
lua5.1-libguestfs-1.56.1-r0.apk                    23-Jul-2025 04:04               85277
lua5.1-libmodbus-0.6.1-r0.apk                      25-Oct-2024 19:09               10091
lua5.1-linenoise-0.9-r1.apk                        25-Oct-2024 19:09               17991
lua5.1-luacov-0.15.0-r0.apk                        25-Oct-2024 19:09               23854
lua5.1-luacov-html-1.0.0-r1.apk                    25-Oct-2024 19:09              422630
lua5.1-luastatic-0.0.12-r1.apk                     25-Oct-2024 19:09               80511
lua5.1-psl-0.3-r0.apk                              25-Oct-2024 19:09                6238
lua5.1-ubus-2025.05.16-r0.apk                      11-Aug-2025 20:10                9624
lua5.1-xml-1.1.3-r2.apk                            25-Oct-2024 19:09               23660
lua5.2-editorconfig-0.3.0-r0.apk                   25-Oct-2024 19:09                4432
lua5.2-lanes-3.16.0-r1.apk                         25-Oct-2024 19:09               56323
lua5.2-libmodbus-0.6.1-r0.apk                      25-Oct-2024 19:09               10080
lua5.2-linenoise-0.9-r1.apk                        25-Oct-2024 19:09               18018
lua5.2-luacov-0.15.0-r0.apk                        25-Oct-2024 19:09               23841
lua5.2-luacov-html-1.0.0-r1.apk                    25-Oct-2024 19:09              422658
lua5.2-luastatic-0.0.12-r1.apk                     25-Oct-2024 19:09                9201
lua5.2-psl-0.3-r0.apk                              25-Oct-2024 19:09                6131
lua5.2-ubus-2025.05.16-r0.apk                      11-Aug-2025 20:10                9625
lua5.2-xml-1.1.3-r2.apk                            25-Oct-2024 19:09               23551
lua5.3-editorconfig-0.3.0-r0.apk                   25-Oct-2024 19:09                4465
lua5.3-lanes-3.16.0-r1.apk                         25-Oct-2024 19:09               56710
lua5.3-linenoise-0.9-r1.apk                        25-Oct-2024 19:09               18022
lua5.3-luacov-0.15.0-r0.apk                        25-Oct-2024 19:09               23849
lua5.3-luacov-html-1.0.0-r1.apk                    25-Oct-2024 19:09              422667
lua5.3-luastatic-0.0.12-r1.apk                     25-Oct-2024 19:09                9217
lua5.3-psl-0.3-r0.apk                              25-Oct-2024 19:09                6134
lua5.4-editorconfig-0.3.0-r0.apk                   25-Oct-2024 19:09                4459
lua5.4-lanes-3.16.0-r1.apk                         25-Oct-2024 19:09               56482
lua5.4-linenoise-0.9-r1.apk                        25-Oct-2024 19:09               18005
lua5.4-luacov-0.15.0-r0.apk                        25-Oct-2024 19:09               23851
lua5.4-luastatic-0.0.12-r1.apk                     25-Oct-2024 19:09                9291
luacov-0.15.0-r0.apk                               25-Oct-2024 19:09                1484
luacov-html-1.0.0-r1.apk                           25-Oct-2024 19:09                1243
luapak-0.1.0_beta5-r0.apk                          25-Oct-2024 19:09               36023
luksmeta-9-r0.apk                                  25-Oct-2024 19:09               13369
luksmeta-dev-9-r0.apk                              25-Oct-2024 19:09                3166
luksmeta-doc-9-r0.apk                              25-Oct-2024 19:09                5611
lumina-desktop-1.6.2-r0.apk                        25-Oct-2024 19:09                1266
lumina-desktop-archiver-1.6.2-r0.apk               25-Oct-2024 19:09              162963
lumina-desktop-core-1.6.2-r0.apk                   25-Oct-2024 19:09             9308439
lumina-desktop-coreutils-1.6.2-r0.apk              25-Oct-2024 19:09              812897
lumina-desktop-doc-1.6.2-r0.apk                    25-Oct-2024 19:09               11777
lumina-desktop-fileinfo-1.6.2-r0.apk               25-Oct-2024 19:09              156651
lumina-desktop-fm-1.6.2-r0.apk                     25-Oct-2024 19:09              388767
lumina-desktop-mediaplayer-1.6.2-r0.apk            25-Oct-2024 19:09              196957
lumina-desktop-photo-1.6.2-r0.apk                  25-Oct-2024 19:09              123074
lumina-desktop-screenshot-1.6.2-r0.apk             25-Oct-2024 19:09              163499
lumina-desktop-sudo-1.6.2-r0.apk                   25-Oct-2024 19:09               94151
lumina-desktop-textedit-1.6.2-r0.apk               25-Oct-2024 19:09              189728
lumins-0.4.0-r2.apk                                25-Oct-2024 19:09              655433
lutgen-1.0.0-r0.apk                                23-Jul-2025 04:04             1785032
lutgen-bash-completion-1.0.0-r0.apk                23-Jul-2025 04:04                1781
lutgen-doc-1.0.0-r0.apk                            23-Jul-2025 04:04                4578
lutgen-fish-completion-1.0.0-r0.apk                23-Jul-2025 04:04                1830
lutgen-zsh-completion-1.0.0-r0.apk                 23-Jul-2025 04:04                1754
lutris-0.5.19-r1.apk                               16-Sep-2025 18:08              838860
lutris-doc-0.5.19-r1.apk                           16-Sep-2025 18:08                2401
lutris-lang-0.5.19-r1.apk                          16-Sep-2025 18:08              829932
lutris-pyc-0.5.19-r1.apk                           16-Sep-2025 18:08             1187322
lv_font_conv-1.5.3-r0.apk                          19-Aug-2025 19:54             1181739
lv_font_conv-doc-1.5.3-r0.apk                      19-Aug-2025 19:54                5095
lxappearance-0.6.3-r3.apk                          25-Oct-2024 19:09               30479
lxappearance-dev-0.6.3-r3.apk                      25-Oct-2024 19:09                3310
lxappearance-doc-0.6.3-r3.apk                      25-Oct-2024 19:09                2675
lxappearance-lang-0.6.3-r3.apk                     25-Oct-2024 19:09               82428
lxd-5.0.3-r14.apk                                  06-Sep-2025 16:20            13928276
lxd-bash-completion-5.0.3-r14.apk                  06-Sep-2025 16:20                5173
lxd-client-5.0.3-r14.apk                           06-Sep-2025 16:20             6027958
lxd-feature-5.20-r14.apk                           06-Sep-2025 16:20            65953291
lxd-feature-bash-completion-5.20-r14.apk           06-Sep-2025 16:20                5244
lxd-feature-doc-5.20-r14.apk                       06-Sep-2025 16:20                1713
lxd-feature-openrc-5.20-r14.apk                    06-Sep-2025 16:20                2479
lxd-feature-scripts-5.20-r14.apk                   06-Sep-2025 16:20                2183
lxd-openrc-5.0.3-r14.apk                           06-Sep-2025 16:20                2601
lxd-scripts-5.0.3-r14.apk                          06-Sep-2025 16:20            25204095
lxd-vm-5.0.3-r14.apk                               06-Sep-2025 16:20                1362
lxqt-wayland-session-0.2.1-r0.apk                  31-Jul-2025 21:23              344419
lxqt-wayland-session-doc-0.2.1-r0.apk              31-Jul-2025 21:23               29538
lychee-0.19.1-r0.apk                               17-Jun-2025 11:01             2977895
lychee-doc-0.19.1-r0.apk                           17-Jun-2025 11:01               13225
lynis-3.1.4-r0.apk                                 29-Jul-2025 08:08              282292
lynis-bash-completion-3.1.4-r0.apk                 29-Jul-2025 08:08                3037
lynis-doc-3.1.4-r0.apk                             29-Jul-2025 08:08               51402
lyrics-in-terminal-1.7.0-r0.apk                    03-Jan-2025 10:09               38978
lzfse-1.0-r0.apk                                   25-Oct-2024 19:09               21252
lzfse-dev-1.0-r0.apk                               25-Oct-2024 19:09                3499
m2r2-0.3.3-r3.apk                                  25-Oct-2024 19:09               12800
m2r2-pyc-0.3.3-r3.apk                              25-Oct-2024 19:09               15976
ma1sd-2.5.0-r3.apk                                 25-Oct-2024 19:09            39970131
ma1sd-openrc-2.5.0-r3.apk                          25-Oct-2024 19:09                2001
macchina-6.4.0-r0.apk                              25-Jun-2025 03:45              956967
macchina-doc-6.4.0-r0.apk                          25-Jun-2025 03:45                5795
mado-0.3.0-r0.apk                                  23-Sep-2025 21:13             1288790
mado-bash-completion-0.3.0-r0.apk                  23-Sep-2025 21:13                2304
mado-doc-0.3.0-r0.apk                              23-Sep-2025 21:13                9409
mado-fish-completion-0.3.0-r0.apk                  23-Sep-2025 21:13                2384
mado-zsh-completion-0.3.0-r0.apk                   23-Sep-2025 21:13                2587
mage-1.13.0-r23.apk                                13-May-2025 21:59             1576990
mailctl-0.9.2-r0.apk                               25-Oct-2024 19:09             7790805
mailctl-bash-completion-0.9.2-r0.apk               25-Oct-2024 19:09                1825
mailctl-doc-0.9.2-r0.apk                           25-Oct-2024 19:09                5171
mailctl-fish-completion-0.9.2-r0.apk               25-Oct-2024 19:09                1916
mailctl-zsh-completion-0.9.2-r0.apk                25-Oct-2024 19:09                2001
maildir2rss-0.0.7-r8.apk                           06-Sep-2025 16:20             3613238
mailsec-check-0_git20210729-r29.apk                06-Sep-2025 16:20             2597922
makeclapman-2.4.4-r8.apk                           06-Sep-2025 16:20             1321341
makeclapman-doc-2.4.4-r8.apk                       06-Sep-2025 16:20                4245
makedumpfile-1.7.7-r0.apk                          03-May-2025 20:03              155773
makedumpfile-doc-1.7.7-r0.apk                      03-May-2025 20:03               24234
makedumpfile-openrc-1.7.7-r0.apk                   03-May-2025 20:03                3001
makepp-2.0.99.2-r0.apk                             08-Sep-2025 09:02              529902
makepp-doc-2.0.99.2-r0.apk                         08-Sep-2025 09:02              272115
makeself-2.5.0-r0.apk                              25-Oct-2024 19:09               13309
malcontent-0.13.1-r0.apk                           03-Sep-2025 18:27              163511
malcontent-dev-0.13.1-r0.apk                       03-Sep-2025 18:27               24540
malcontent-doc-0.13.1-r0.apk                       03-Sep-2025 18:27               45802
mame-0.251-r0.apk                                  25-Oct-2024 19:09            95444845
mame-arcade-0.251-r0.apk                           25-Oct-2024 19:10            66930158
mame-common-0.251-r0.apk                           25-Oct-2024 19:10                2721
mame-data-0.251-r0.apk                             25-Oct-2024 19:10            20049128
mame-doc-0.251-r0.apk                              25-Oct-2024 19:10               24638
mame-lang-0.251-r0.apk                             25-Oct-2024 19:10             1495444
mame-mess-0.251-r0.apk                             25-Oct-2024 19:10            51240694
mame-plugins-0.251-r0.apk                          25-Oct-2024 19:10              170320
mame-tools-0.251-r0.apk                            25-Oct-2024 19:10             2668534
mangal-4.0.6-r21.apk                               06-Sep-2025 16:20            10344515
mangal-bash-completion-4.0.6-r21.apk               06-Sep-2025 16:20                5107
mangal-fish-completion-4.0.6-r21.apk               06-Sep-2025 16:20                4011
mangal-zsh-completion-4.0.6-r21.apk                06-Sep-2025 16:20                4097
mangr0ve-0.1.2-r0.apk                              25-Oct-2024 19:10                2873
mangr0ve-doc-0.1.2-r0.apk                          25-Oct-2024 19:10               14766
manifest-tool-2.2.0-r4.apk                         06-Sep-2025 16:20             3926760
mapnik-4.0.6-r1.apk                                10-Jun-2025 10:42            11449050
mapnik-dev-4.0.6-r1.apk                            10-Jun-2025 10:42              497189
mapnik-doc-4.0.6-r1.apk                            10-Jun-2025 10:42              145032
mapserver-8.4.1-r0.apk                             22-Sep-2025 13:15             1377371
mapserver-dev-8.4.1-r0.apk                         22-Sep-2025 13:15              552854
marxan-4.0.7-r1.apk                                25-Oct-2024 19:10              549600
masky-0.2.0-r2.apk                                 29-May-2025 12:00              283517
masky-pyc-0.2.0-r2.apk                             29-May-2025 12:00               65185
mat2-0.13.5-r0.apk                                 16-Sep-2025 19:00               36253
mat2-doc-0.13.5-r0.apk                             16-Sep-2025 19:00                7877
mat2-pyc-0.13.5-r0.apk                             16-Sep-2025 19:00               55791
materia-20210322-r3.apk                            12-Jul-2025 06:30                1712
materia-chromium-20210322-r3.apk                   12-Jul-2025 06:30                5818
materia-compact-20210322-r3.apk                    12-Jul-2025 06:30                1730
materia-compact-chromium-20210322-r3.apk           12-Jul-2025 06:30                5835
materia-compact-gnome-shell-20210322-r3.apk        12-Jul-2025 06:30               30011
materia-compact-gtk2-20210322-r3.apk               12-Jul-2025 06:30               35422
materia-compact-gtk3-20210322-r3.apk               12-Jul-2025 06:30               64413
materia-compact-gtk4-20210322-r3.apk               12-Jul-2025 06:30               43595
materia-dark-20210322-r3.apk                       12-Jul-2025 06:30                1726
materia-dark-chromium-20210322-r3.apk              12-Jul-2025 06:30                5841
materia-dark-compact-20210322-r3.apk               12-Jul-2025 06:30                1743
materia-dark-compact-chromium-20210322-r3.apk      12-Jul-2025 06:30                5859
materia-dark-compact-gnome-shell-20210322-r3.apk   12-Jul-2025 06:30               29999
materia-dark-compact-gtk2-20210322-r3.apk          12-Jul-2025 06:30               35343
materia-dark-compact-gtk3-20210322-r3.apk          12-Jul-2025 06:30               40549
materia-dark-compact-gtk4-20210322-r3.apk          12-Jul-2025 06:30               30110
materia-dark-compact-kde-kvantum-20220823-r0.apk   25-Oct-2024 19:10                1502
materia-dark-gnome-shell-20210322-r3.apk           12-Jul-2025 06:30               29904
materia-dark-gtk2-20210322-r3.apk                  12-Jul-2025 06:30               35317
materia-dark-gtk3-20210322-r3.apk                  12-Jul-2025 06:30               40563
materia-dark-gtk4-20210322-r3.apk                  12-Jul-2025 06:30               30114
materia-dark-kde-konsole-20220823-r0.apk           25-Oct-2024 19:10                1890
materia-dark-kde-kvantum-20220823-r0.apk           25-Oct-2024 19:10               30713
materia-dark-kde-plasma-20220823-r0.apk            25-Oct-2024 19:10              515004
materia-dark-kde-yakuake-20220823-r0.apk           25-Oct-2024 19:10               22248
materia-gnome-shell-20210322-r3.apk                12-Jul-2025 06:30               29904
materia-gtk-theme-20210322-r3.apk                  12-Jul-2025 06:30                2927
materia-gtk2-20210322-r3.apk                       12-Jul-2025 06:30               35395
materia-gtk3-20210322-r3.apk                       12-Jul-2025 06:30               64540
materia-gtk4-20210322-r3.apk                       12-Jul-2025 06:30               43698
materia-kde-20220823-r0.apk                        25-Oct-2024 19:10               19799
materia-kde-konsole-20220823-r0.apk                25-Oct-2024 19:10                1872
materia-kde-kvantum-20220823-r0.apk                25-Oct-2024 19:10               30670
materia-kde-plasma-20220823-r0.apk                 25-Oct-2024 19:10             1782939
materia-light-compact-kde-kvantum-20220823-r0.apk  25-Oct-2024 19:10                1505
materia-light-kde-kvantum-20220823-r0.apk          25-Oct-2024 19:10               30308
materia-light-kde-plasma-20220823-r0.apk           25-Oct-2024 19:10               20590
materia-light-kde-yakuake-20220823-r0.apk          25-Oct-2024 19:10               22010
mattermost-desktop-5.11.0-r5.apk                   23-Sep-2025 03:32             4396452
maxima-5.48.1-r9.apk                               22-Aug-2025 10:16            25454122
maxima-bash-completion-5.48.1-r9.apk               22-Aug-2025 10:16                2387
maxima-doc-5.48.1-r9.apk                           22-Aug-2025 10:16              865984
maxima-doc-extra-5.48.1-r9.apk                     22-Aug-2025 10:16            10116320
maxima-emacs-5.48.1-r9.apk                         22-Aug-2025 10:16              113411
mbrola-3.3-r0.apk                                  25-Oct-2024 19:10               22321
mcjoin-2.11-r0.apk                                 25-Oct-2024 19:10               24425
mcjoin-doc-2.11-r0.apk                             25-Oct-2024 19:10               55018
mcqd-1.0.0-r1.apk                                  25-Oct-2024 19:10               13063
mcqd-dev-1.0.0-r1.apk                              25-Oct-2024 19:10                4143
md5ha1-0_git20171202-r1.apk                        25-Oct-2024 19:10                9223
mdbook-admonish-1.20.0-r0.apk                      17-Jun-2025 11:01              993890
mdbook-alerts-0.8.0-r0.apk                         26-Sep-2025 12:14              694825
mdbook-katex-0.9.4-r0.apk                          17-May-2025 16:37             1146019
mdbook-linkcheck-0.7.7-r0.apk                      16-May-2025 19:17             2681424
mdbook-mermaid-0.16.0-r0.apk                       27-Sep-2025 21:59             1673862
mdbook-plantuml-0.8.0-r0.apk                       25-Oct-2024 19:10              906504
mdcat-2.7.1-r0.apk                                 14-Dec-2024 18:04             2907986
mdcat-bash-completion-2.7.1-r0.apk                 14-Dec-2024 18:04                2256
mdcat-doc-2.7.1-r0.apk                             14-Dec-2024 18:04                6182
mdcat-fish-completion-2.7.1-r0.apk                 14-Dec-2024 18:04                2192
mdcat-zsh-completion-2.7.1-r0.apk                  14-Dec-2024 18:04                2505
mdnsd-0.12-r1.apk                                  25-Oct-2024 19:10               24249
mdnsd-doc-0.12-r1.apk                              25-Oct-2024 19:10               14702
mdnsd-libs-0.12-r1.apk                             25-Oct-2024 19:10               18726
mdnsd-openrc-0.12-r1.apk                           25-Oct-2024 19:10                2186
mdnsd-static-0.12-r1.apk                           25-Oct-2024 19:10               19254
mdp-1.0.18-r0.apk                                  12-Jul-2025 06:30               16891
mdp-doc-1.0.18-r0.apk                              12-Jul-2025 06:30                3965
mediascanner2-0.118-r3.apk                         19-Aug-2025 20:09              274942
mediastreamer2-5.3.100-r1.apk                      31-May-2025 22:31              374934
mediastreamer2-dev-5.3.100-r1.apk                  31-May-2025 22:31              112295
mediastreamer2-doc-5.3.100-r1.apk                  31-May-2025 22:31              110294
mediastreamer2-plugin-openh264-5.2.0_git2023102..> 11-Mar-2025 03:55               12396
mediastreamer2-plugin-x264-20200722-r6.apk         25-Oct-2024 19:10                8897
meep-1.31.0-r1.apk                                 19-Aug-2025 13:04              637650
meep-dev-1.31.0-r1.apk                             19-Aug-2025 13:04              518914
megatools-1.11.5.20250706-r0.apk                   30-Jul-2025 14:58               64627
megatools-bash-completion-1.11.5.20250706-r0.apk   30-Jul-2025 14:58                4194
megatools-doc-1.11.5.20250706-r0.apk               30-Jul-2025 14:58               53400
megazeux-2.93d-r0.apk                              10-Jun-2025 13:45             1470985
megazeux-doc-2.93d-r0.apk                          10-Jun-2025 13:45              476450
melange-0.31.5-r0.apk                              30-Sep-2025 10:05            11919839
melange-bash-completion-0.31.5-r0.apk              30-Sep-2025 10:05                6764
melange-fish-completion-0.31.5-r0.apk              30-Sep-2025 10:05                4429
melange-zsh-completion-0.31.5-r0.apk               30-Sep-2025 10:05                4136
meli-0.8.11-r0.apk                                 05-May-2025 01:09             4681610
meli-doc-0.8.11-r0.apk                             05-May-2025 01:09               49597
memdump-1.01-r1.apk                                25-Oct-2024 19:10                5924
memdump-doc-1.01-r1.apk                            25-Oct-2024 19:10                3184
memray-1.17.2-r0.apk                               08-Aug-2025 13:47              605500
memray-pyc-1.17.2-r0.apk                           08-Aug-2025 13:47               97027
menumaker-0.99.14-r1.apk                           25-Oct-2024 19:10              113687
mergerfs-2.40.2-r1.apk                             25-Oct-2024 19:10              288689
mergerfs-doc-2.40.2-r1.apk                         25-Oct-2024 19:10               42828
merlin-4.14-r0.apk                                 25-Oct-2024 19:10            16910311
merlin-dev-4.14-r0.apk                             25-Oct-2024 19:10            23843089
merlin-emacs-4.14-r0.apk                           25-Oct-2024 19:10               29213
merlin-vim-4.14-r0.apk                             25-Oct-2024 19:10               28577
meson-tools-0.1-r2.apk                             09-Dec-2024 16:38               11517
meson-tools-doc-0.1-r2.apk                         09-Dec-2024 16:38                8494
mesonlsp-4.3.7-r4.apk                              19-Jun-2025 05:35             2268332
metadata-cleaner-2.5.6-r0.apk                      01-Feb-2025 16:09               50297
metadata-cleaner-doc-2.5.6-r0.apk                  01-Feb-2025 16:09             1994073
metadata-cleaner-lang-2.5.6-r0.apk                 01-Feb-2025 16:09               67488
metalang99-1.13.3-r0.apk                           25-Oct-2024 19:10               55597
metricbeat-8.14.2-r8.apk                           06-Sep-2025 16:20            37140276
metricbeat-openrc-8.14.2-r8.apk                    06-Sep-2025 16:20                2069
milkytracker-1.04.00-r2.apk                        25-Oct-2024 19:10             1020389
milkytracker-doc-1.04.00-r2.apk                    25-Oct-2024 19:10               51628
mimalloc1-1.9.3-r1.apk                             18-May-2025 16:00               76428
mimalloc1-debug-1.9.3-r1.apk                       18-May-2025 16:00              199104
mimalloc1-dev-1.9.3-r1.apk                         18-May-2025 16:00              513708
mimalloc1-insecure-1.9.3-r1.apk                    18-May-2025 16:00               71796
mimedefang-3.6-r0.apk                              02-Mar-2025 12:15              161269
mimedefang-doc-3.6-r0.apk                          02-Mar-2025 12:15               82329
mimeo-2023-r2.apk                                  25-Oct-2024 19:10               28826
mimeo-pyc-2023-r2.apk                              25-Oct-2024 19:10               42548
minidyndns-1.3.0-r3.apk                            25-Oct-2024 19:10               11919
minidyndns-doc-1.3.0-r3.apk                        25-Oct-2024 19:10                5227
minidyndns-openrc-1.3.0-r3.apk                     25-Oct-2024 19:10                1887
minigalaxy-1.4.0-r0.apk                            12-Jul-2025 06:30              202004
minigalaxy-pyc-1.4.0-r0.apk                        12-Jul-2025 06:30              137794
minimodem-0.24-r1.apk                              25-Oct-2024 19:10               20740
minimodem-doc-0.24-r1.apk                          25-Oct-2024 19:10                5321
minisatip-1.3.4-r0.apk                             25-Oct-2024 19:10              319929
minisatip-openrc-1.3.4-r0.apk                      25-Oct-2024 19:10                1945
mint-themes-2.3.1-r0.apk                           06-Aug-2025 08:49             1931896
mint-themes-doc-2.3.1-r0.apk                       06-Aug-2025 08:49               14590
mint-x-icons-1.7.2-r0.apk                          24-Dec-2024 10:07            23566772
mint-x-icons-doc-1.7.2-r0.apk                      24-Dec-2024 10:07                7556
mint-x-theme-2.3.1-r0.apk                          06-Aug-2025 08:49                2828
mint-x-theme-gtk2-2.3.1-r0.apk                     06-Aug-2025 08:49              463562
mint-x-theme-gtk3-2.3.1-r0.apk                     06-Aug-2025 08:49              612066
mint-x-theme-gtk4-2.3.1-r0.apk                     06-Aug-2025 08:49              515561
mint-x-theme-metacity-2.3.1-r0.apk                 06-Aug-2025 08:49                6169
mint-x-theme-xfwm4-2.3.1-r0.apk                    06-Aug-2025 08:49               32056
mint-y-icons-1.8.3-r0.apk                          05-Feb-2025 22:14            75791376
mint-y-icons-doc-1.8.3-r0.apk                      05-Feb-2025 22:14               11529
mint-y-theme-2.3.1-r0.apk                          06-Aug-2025 08:49                3623
mint-y-theme-gtk2-2.3.1-r0.apk                     06-Aug-2025 08:49              609090
mint-y-theme-gtk3-2.3.1-r0.apk                     06-Aug-2025 08:49             2077871
mint-y-theme-gtk4-2.3.1-r0.apk                     06-Aug-2025 08:49             1742541
mint-y-theme-metacity-2.3.1-r0.apk                 06-Aug-2025 08:49               56222
mint-y-theme-xfwm4-2.3.1-r0.apk                    06-Aug-2025 08:49              208290
mir-2.22.2-r0.apk                                  29-Sep-2025 10:29             2333705
mir-demos-2.22.2-r0.apk                            29-Sep-2025 10:29              153535
mir-dev-2.22.2-r0.apk                              29-Sep-2025 10:29             8867758
mir-test-tools-2.22.2-r0.apk                       29-Sep-2025 10:29             1243922
miracle-wm-0.7.1-r0.apk                            28-Sep-2025 19:30              431806
miracle-wm-dev-0.7.1-r0.apk                        28-Sep-2025 19:30                9058
mirrorhall-0.1.1-r2.apk                            10-Aug-2025 01:01               26936
mitra-4.10.1-r0.apk                                22-Sep-2025 09:42             6715180
mitra-doc-4.10.1-r0.apk                            22-Sep-2025 09:42               27161
mitra-openrc-4.10.1-r0.apk                         22-Sep-2025 09:42                1969
mjpg-streamer-0_git20210220-r2.apk                 14-May-2025 15:03              198787
mkbrr-1.15.0-r1.apk                                06-Sep-2025 16:20             4060430
mkcert-1.4.4-r22.apk                               06-Sep-2025 16:20             1770009
mkdocs-bootstrap-1.1.1-r2.apk                      25-Oct-2024 19:10               29208
mkdocs-bootstrap-pyc-1.1.1-r2.apk                  25-Oct-2024 19:10                1851
mkdocs-bootstrap386-0.0.2-r5.apk                   25-Oct-2024 19:10              810240
mkdocs-bootstrap386-pyc-0.0.2-r5.apk               25-Oct-2024 19:10                1857
mkdocs-bootstrap4-0.1.5-r5.apk                     25-Oct-2024 19:10              266293
mkdocs-bootstrap4-pyc-0.1.5-r5.apk                 25-Oct-2024 19:10                1855
mkdocs-bootswatch-1.1-r5.apk                       25-Oct-2024 19:10              551321
mkdocs-bootswatch-pyc-1.1-r5.apk                   25-Oct-2024 19:10                4834
mkdocs-cinder-1.2.0-r5.apk                         25-Oct-2024 19:10              254600
mkdocs-cinder-pyc-1.2.0-r5.apk                     25-Oct-2024 19:10                1836
mkdocs-cluster-0.0.9-r5.apk                        25-Oct-2024 19:10              666763
mkdocs-cluster-pyc-0.0.9-r5.apk                    25-Oct-2024 19:10                1857
mkdocs-gitbook-0.0.1-r5.apk                        25-Oct-2024 19:10              659593
mkdocs-gitbook-pyc-0.0.1-r5.apk                    25-Oct-2024 19:10                1857
mkdocs-ivory-0.4.6-r5.apk                          25-Oct-2024 19:10               11194
mkdocs-ivory-pyc-0.4.6-r5.apk                      25-Oct-2024 19:10                1847
mkdocs-rtd-dropdown-1.0.2-r5.apk                   25-Oct-2024 19:10              254335
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk               25-Oct-2024 19:10                1857
mkdocs-windmill-1.0.5-r4.apk                       25-Oct-2024 19:10              966721
mkdocs-windmill-pyc-1.0.5-r4.apk                   25-Oct-2024 19:10                1853
mkdotenv-0.4.9-r0.apk                              18-Sep-2025 16:40              921859
mkg3a-0.5.0-r1.apk                                 25-Oct-2024 19:10               17391
mkg3a-doc-0.5.0-r1.apk                             25-Oct-2024 19:10                3131
mkosi-25.3_git20250929-r0.apk                      29-Sep-2025 17:55              296297
mkosi-doc-25.3_git20250929-r0.apk                  29-Sep-2025 17:55               75932
mkosi-pyc-25.3_git20250929-r0.apk                  29-Sep-2025 17:55              441131
mkrundir-0.4.0-r1.apk                              28-Nov-2024 16:16               98112
mktorrent-borg-0.9.9-r1.apk                        25-Oct-2024 19:10                9577
mktorrent-borg-doc-0.9.9-r1.apk                    25-Oct-2024 19:10                2550
mlir-next-22.0.0_pre20250926-r0.apk                27-Sep-2025 11:40            19989708
mlir-next-dev-22.0.0_pre20250926-r0.apk            27-Sep-2025 11:40             7230040
mlir-next-libs-22.0.0_pre20250926-r0.apk           27-Sep-2025 11:40            19095971
mlir-next-static-22.0.0_pre20250926-r0.apk         27-Sep-2025 11:40            55122431
mlxl-0.1-r0.apk                                    25-Oct-2024 19:10                6368
mm-1.4.2-r1.apk                                    25-Oct-2024 19:10                7206
mm-common-1.0.7-r0.apk                             27-Jun-2025 16:39              424104
mm-common-doc-1.0.7-r0.apk                         27-Jun-2025 16:39               34287
mm-dev-1.4.2-r1.apk                                25-Oct-2024 19:10               11660
mm-doc-1.4.2-r1.apk                                25-Oct-2024 19:10               14681
mmar-0.2.5-r1.apk                                  13-May-2025 22:00             2576361
mmix-0_git20221025-r0.apk                          25-Oct-2024 19:10              150096
mml-1.0.0-r1.apk                                   30-Sep-2025 00:41              837547
mml-bash-completion-1.0.0-r1.apk                   30-Sep-2025 00:41                2311
mml-doc-1.0.0-r1.apk                               30-Sep-2025 00:41                3885
mml-fish-completion-1.0.0-r1.apk                   30-Sep-2025 00:41                2281
mml-zsh-completion-1.0.0-r1.apk                    30-Sep-2025 00:41                2847
mnamer-2.5.5-r1.apk                                25-Oct-2024 19:10               32472
mnamer-pyc-2.5.5-r1.apk                            25-Oct-2024 19:10               61931
mnemosyne-2.10.1-r1.apk                            25-Oct-2024 19:10              621669
mnemosyne-lang-2.10.1-r1.apk                       25-Oct-2024 19:10              449128
mnemosyne-pyc-2.10.1-r1.apk                        25-Oct-2024 19:10              642912
mobpass-0.2-r6.apk                                 25-Oct-2024 19:10               18469
mobpass-pyc-0.2-r6.apk                             25-Oct-2024 19:10                5368
mobroute-0.10.0-r3.apk                             06-Sep-2025 16:20             4558411
mobroute-doc-0.10.0-r3.apk                         06-Sep-2025 16:20             1401645
mod_dnssd-0.6-r1.apk                               10-Aug-2025 01:01                9031
modem-manager-gui-0.0.20-r0.apk                    25-Oct-2024 19:10              340418
modem-manager-gui-doc-0.0.20-r0.apk                25-Oct-2024 19:10             4130238
modem-manager-gui-lang-0.0.20-r0.apk               25-Oct-2024 19:10              132371
mods-1.8.1-r1.apk                                  06-Sep-2025 16:20            10230140
mods-doc-1.8.1-r1.apk                              06-Sep-2025 16:20                2308
moe-1.14-r0.apk                                    25-Oct-2024 19:10              109454
moe-doc-1.14-r0.apk                                25-Oct-2024 19:10               19459
moka-icon-theme-5.4.0-r2.apk                       25-Oct-2024 19:10           119267281
monetdb-11.33.11-r4.apk                            25-Oct-2024 19:10             2362680
monetdb-dev-11.33.11-r4.apk                        25-Oct-2024 19:10               78946
monetdb-doc-11.33.11-r4.apk                        25-Oct-2024 19:10              328634
mongo-cxx-driver-3.8.0-r0.apk                      25-Oct-2024 19:10              180234
mongo-cxx-driver-dev-3.8.0-r0.apk                  25-Oct-2024 19:10               91015
monopd-0.10.4-r0.apk                               11-Jan-2025 11:11               92172
monopd-openrc-0.10.4-r0.apk                        11-Jan-2025 11:11                1724
moon-buggy-1.0.51-r1.apk                           25-Oct-2024 19:10               37094
moon-buggy-doc-1.0.51-r1.apk                       25-Oct-2024 19:10                7302
moosefs-4.56.6-r2.apk                              19-Jun-2025 08:44              261105
moosefs-cgi-4.56.6-r2.apk                          19-Jun-2025 08:44              124088
moosefs-cgiserv-4.56.6-r2.apk                      19-Jun-2025 08:44                8008
moosefs-cgiserv-openrc-4.56.6-r2.apk               19-Jun-2025 08:44                2042
moosefs-chunkserver-4.56.6-r2.apk                  19-Jun-2025 08:44              185549
moosefs-chunkserver-openrc-4.56.6-r2.apk           19-Jun-2025 08:44                1721
moosefs-client-4.56.6-r2.apk                       19-Jun-2025 08:44              730495
moosefs-doc-4.56.6-r2.apk                          19-Jun-2025 08:44               97458
moosefs-master-4.56.6-r2.apk                       19-Jun-2025 08:44              371567
moosefs-master-openrc-4.56.6-r2.apk                19-Jun-2025 08:44                1709
moosefs-metalogger-4.56.6-r2.apk                   19-Jun-2025 08:44               41422
moosefs-metalogger-openrc-4.56.6-r2.apk            19-Jun-2025 08:44                1713
moosefs-static-4.56.6-r2.apk                       19-Jun-2025 08:44              726777
morph-browser-1.1.2-r0.apk                         26-Jan-2025 06:27              560999
morph-browser-lang-1.1.2-r0.apk                    26-Jan-2025 06:27              334632
motion-4.7.1-r0.apk                                27-Sep-2025 21:15              139835
motion-doc-4.7.1-r0.apk                            27-Sep-2025 21:15              142994
motion-lang-4.7.1-r0.apk                           27-Sep-2025 21:15              482088
motion-openrc-4.7.1-r0.apk                         27-Sep-2025 21:15                2270
mp3val-0.1.8-r1.apk                                25-Oct-2024 19:10               13465
mpdcron-0.3-r1.apk                                 25-Oct-2024 19:10               95746
mpdcron-dev-0.3-r1.apk                             25-Oct-2024 19:10               62082
mpdcron-doc-0.3-r1.apk                             25-Oct-2024 19:10               13683
mpdcron-zsh-completion-0.3-r1.apk                  25-Oct-2024 19:10                2953
mpdris2-0.9.1-r3.apk                               25-Oct-2024 19:10               15548
mpdris2-doc-0.9.1-r3.apk                           25-Oct-2024 19:10               15224
mpdris2-lang-0.9.1-r3.apk                          25-Oct-2024 19:10                2390
mpv-sponsorblock-2.2.0-r0.apk                      16-Jun-2025 15:16             1487626
mqtt2prometheus-0.1.7-r19.apk                      06-Sep-2025 16:20             4432226
mrsh-0_git20210518-r1.apk                          25-Oct-2024 19:10                5670
mrsh-dbg-0_git20210518-r1.apk                      25-Oct-2024 19:10              209492
mrsh-dev-0_git20210518-r1.apk                      25-Oct-2024 19:10               10225
mrsh-libs-0_git20210518-r1.apk                     25-Oct-2024 19:10               60025
msgpuck-2.0-r1.apk                                 25-Oct-2024 19:10                1197
msgpuck-dev-2.0-r1.apk                             25-Oct-2024 19:10               24105
msgpuck-doc-2.0-r1.apk                             25-Oct-2024 19:10                7493
msh-2.5.0-r15.apk                                  06-Sep-2025 16:20             2905599
msh-openrc-2.5.0-r15.apk                           06-Sep-2025 16:20                2038
mspdebug-0.25-r1.apk                               25-Oct-2024 19:10              211318
mspdebug-doc-0.25-r1.apk                           25-Oct-2024 19:10               14551
mstflint-4.26.0.1-r0.apk                           25-Oct-2024 19:10             4279351
mstflint-doc-4.26.0.1-r0.apk                       25-Oct-2024 19:10               18387
mtg-2.1.7-r24.apk                                  06-Sep-2025 16:20             4496010
mtg-openrc-2.1.7-r24.apk                           06-Sep-2025 16:20                1908
murex-7.0.2107-r3.apk                              06-Sep-2025 16:20             6148255
murex-doc-7.0.2107-r3.apk                          06-Sep-2025 16:20              310933
muse-4.2.1-r2.apk                                  13-May-2025 22:00             6330332
muse-doc-4.2.1-r2.apk                              13-May-2025 22:00             4311001
musikcube-3.0.5-r0.apk                             27-Sep-2025 21:15             2147296
musikcube-dev-3.0.5-r0.apk                         27-Sep-2025 21:15               19553
musikcube-plugin-all-3.0.5-r0.apk                  27-Sep-2025 21:15                1371
musikcube-plugin-httpdatastream-3.0.5-r0.apk       27-Sep-2025 21:15               74539
musikcube-plugin-mpris-3.0.5-r0.apk                27-Sep-2025 21:15               20929
musikcube-plugin-openmpt-3.0.5-r0.apk              27-Sep-2025 21:15               29962
musikcube-plugin-server-3.0.5-r0.apk               27-Sep-2025 21:15              359372
musikcube-plugin-stockencoders-3.0.5-r0.apk        27-Sep-2025 21:15               19595
musikcube-plugin-supereqdsp-3.0.5-r0.apk           27-Sep-2025 21:15               25185
musikcube-plugin-taglibreader-3.0.5-r0.apk         27-Sep-2025 21:15               34701
mxclient-0_git20211002-r1.apk                      25-Oct-2024 19:10               74306
n30f-2.0-r3.apk                                    25-Oct-2024 19:10                7072
naabu-2.3.5-r2.apk                                 06-Sep-2025 16:20            10439990
naabu-doc-2.3.5-r2.apk                             06-Sep-2025 16:20                2330
nano-hare-0_git20231021-r0.apk                     25-Oct-2024 19:10                2229
nauty-2.9.1-r0.apk                                 08-Sep-2025 16:41             6152796
nauty-dev-2.9.1-r0.apk                             08-Sep-2025 16:41             3966304
nauty-libs-2.9.1-r0.apk                            08-Sep-2025 16:41             2872544
nb-7.19.1-r0.apk                                   24-May-2025 22:10              155784
nb-bash-completion-7.19.1-r0.apk                   24-May-2025 22:10                3059
nb-doc-7.19.1-r0.apk                               24-May-2025 22:10               79329
nb-fish-completion-7.19.1-r0.apk                   24-May-2025 22:10                2864
nb-full-7.19.1-r0.apk                              24-May-2025 22:10                1330
nb-zsh-completion-7.19.1-r0.apk                    24-May-2025 22:10                3021
nbsdgames-5-r0.apk                                 25-Oct-2024 19:10              103246
nbsdgames-doc-5-r0.apk                             25-Oct-2024 19:10                9617
ndpi-4.10-r0.apk                                   25-Oct-2024 19:10             1329174
ndpi-dev-4.10-r0.apk                               25-Oct-2024 19:10              887877
neard-0.19-r0.apk                                  25-Oct-2024 19:10              142665
neard-dev-0.19-r0.apk                              25-Oct-2024 19:10               11352
neard-doc-0.19-r0.apk                              25-Oct-2024 19:10                5740
neard-openrc-0.19-r0.apk                           25-Oct-2024 19:10                1750
neko-2.3.0-r0.apk                                  21-Nov-2024 00:55              463992
neko-dev-2.3.0-r0.apk                              21-Nov-2024 00:55               10636
neko-doc-2.3.0-r0.apk                              21-Nov-2024 00:55               20399
nemo-gtkhash-plugin-1.5-r0.apk                     25-Oct-2024 19:10               23371
neo4j-client-2.2.0-r3.apk                          25-Oct-2024 19:10               29508
neo4j-client-doc-2.2.0-r3.apk                      25-Oct-2024 19:10                5486
neocmakelsp-0.8.25-r0.apk                          29-Aug-2025 18:52             1591177
neocmakelsp-bash-completion-0.8.25-r0.apk          29-Aug-2025 18:52                2032
neocmakelsp-doc-0.8.25-r0.apk                      29-Aug-2025 18:52                5974
neocmakelsp-fish-completion-0.8.25-r0.apk          29-Aug-2025 18:52                1667
neocmakelsp-zsh-completion-0.8.25-r0.apk           29-Aug-2025 18:52                1871
neofetch-7.1.0-r2.apk                              07-Nov-2024 05:26               87713
neofetch-doc-7.1.0-r2.apk                          07-Nov-2024 05:26                6327
nerdlog-1.10.0-r3.apk                              06-Sep-2025 16:20             2781278
nerdlog-doc-1.10.0-r3.apk                          06-Sep-2025 16:20               13731
net-predictable-1.5.1-r3.apk                       06-Sep-2025 16:20              941159
net-predictable-doc-1.5.1-r3.apk                   06-Sep-2025 16:20                2297
netdiscover-0.21-r0.apk                            15-Aug-2025 12:45              470379
netdiscover-doc-0.21-r0.apk                        15-Aug-2025 12:45                4304
netscanner-0.5.1-r1.apk                            25-Oct-2024 19:10             3678621
netscanner-doc-0.5.1-r1.apk                        25-Oct-2024 19:10                3410
netsed-1.4-r0.apk                                  18-Aug-2025 10:15               10304
netstandard21-targeting-pack-6.0.136-r1.apk        25-Nov-2024 04:52             1966404
networkmanager-dmenu-2.6.1-r0.apk                  23-Jul-2025 08:13               14125
networkmanager-dmenu-doc-2.6.1-r0.apk              23-Jul-2025 08:13                6960
newlib-esp-0_git20240109-r0.apk                    25-Oct-2024 19:10                1554
newlib-esp-xtensa-esp32-elf-0_git20240109-r0.apk   25-Oct-2024 19:10             4497118
newlib-esp-xtensa-esp32s2-elf-0_git20240109-r0.apk 25-Oct-2024 19:10             4536124
newlib-esp-xtensa-esp32s3-elf-0_git20240109-r0.apk 25-Oct-2024 19:10             4498959
newlib-esp-xtensa-esp8266-elf-0_git20240109-r0.apk 25-Oct-2024 19:10             4675937
newlib-esp-xtensa-none-elf-0_git20240109-r0.apk    25-Oct-2024 19:10             1113267
newsyslog-1.2.0.91-r1.apk                          25-Oct-2024 19:10               18196
newsyslog-doc-1.2.0.91-r1.apk                      25-Oct-2024 19:10               24594
nextpnr-0.7-r0.apk                                 25-Oct-2024 19:10                1482
nextpnr-ecp5-0.7-r0.apk                            25-Oct-2024 19:10            26645639
nextpnr-generic-0.7-r0.apk                         25-Oct-2024 19:10              762595
nextpnr-gowin-0.7-r0.apk                           25-Oct-2024 19:10             1549803
nextpnr-ice40-0.7-r0.apk                           25-Oct-2024 19:10            71888489
nfcd-1.2.2-r1.apk                                  10-Aug-2025 01:01              301003
nfcd-dev-1.2.2-r1.apk                              10-Aug-2025 01:01               24687
nfoview-2.1-r0.apk                                 13-Apr-2025 20:28               39601
nfoview-doc-2.1-r0.apk                             13-Apr-2025 20:28                8196
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r..> 25-Oct-2024 19:10              729831
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.40..> 25-Oct-2024 19:10               21777
ngs-0.2.14-r0.apk                                  25-Oct-2024 19:10              296652
ngs-aws-0.2.14-r0.apk                              25-Oct-2024 19:10               33462
ngs-vim-0.2.14-r0.apk                              25-Oct-2024 19:10                5008
nicotine-plus-3.3.10-r0.apk                        03-May-2025 20:03             1634612
nicotine-plus-doc-3.3.10-r0.apk                    03-May-2025 20:03                2640
nicotine-plus-lang-3.3.10-r0.apk                   03-May-2025 20:03              775215
nicotine-plus-pyc-3.3.10-r0.apk                    03-May-2025 20:03              807793
nitro-2.7_beta8-r2.apk                             25-Oct-2024 19:10              556292
nitro-dev-2.7_beta8-r2.apk                         25-Oct-2024 19:10              194535
nitro-init-0.4.1-r0.apk                            29-Sep-2025 20:32               21967
nitro-init-doc-0.4.1-r0.apk                        29-Sep-2025 20:32                4606
nitrocli-0.4.1-r3.apk                              25-Oct-2024 19:10              419762
nitrocli-bash-completion-0.4.1-r3.apk              25-Oct-2024 19:10                3317
nitrocli-doc-0.4.1-r3.apk                          25-Oct-2024 19:10                9037
nkk-0_git20221010-r0.apk                           25-Oct-2024 19:10               15299
nkk-dev-0_git20221010-r0.apk                       25-Oct-2024 19:10                2969
nkk-doc-0_git20221010-r0.apk                       25-Oct-2024 19:10                7139
nlopt-2.10.0-r2.apk                                01-Oct-2025 06:02              186905
nlopt-dev-2.10.0-r2.apk                            01-Oct-2025 06:02               12279
nlopt-doc-2.10.0-r2.apk                            01-Oct-2025 06:02               23378
nlopt-guile-2.10.0-r2.apk                          01-Oct-2025 06:02               42174
nlopt-octave-2.10.0-r2.apk                         01-Oct-2025 06:02               28153
nm-tray-0.5.1-r0.apk                               11-Sep-2025 17:35              101875
nm-tray-lang-0.5.1-r0.apk                          11-Sep-2025 17:35               27902
nmap-parse-output-1.5.1-r1.apk                     29-May-2025 12:00               20986
nmap-parse-output-bash-completion-1.5.1-r1.apk     29-May-2025 12:00                2033
nmap-parse-output-doc-1.5.1-r1.apk                 29-May-2025 12:00              827074
noblenote-1.2.1-r1.apk                             25-Oct-2024 19:10              405548
node-libpg-query-13.1.2-r5.apk                     25-Oct-2024 19:10               18812
noggin-0.1-r21.apk                                 06-Sep-2025 16:20             1396830
noggin-doc-0.1-r21.apk                             06-Sep-2025 16:20                2983
noggin-model-0.1-r0.apk                            25-Oct-2024 19:10            12576152
noggin-model-lightweight-0.1-r0.apk                25-Oct-2024 19:10             1762336
noice-0.8-r1.apk                                   25-Oct-2024 19:10               10017
noice-doc-0.8-r1.apk                               25-Oct-2024 19:10                3437
nom-2.8.0-r6.apk                                   06-Sep-2025 16:20             6918756
nom-doc-2.8.0-r6.apk                               06-Sep-2025 16:20                4123
nomadnet-0.8.0-r0.apk                              23-Sep-2025 21:13              146724
nomadnet-pyc-0.8.0-r0.apk                          23-Sep-2025 21:13              291473
normaliz-3.10.4-r2.apk                             13-Jun-2025 04:56               40732
normaliz-dev-3.10.4-r2.apk                         13-Jun-2025 04:56               74297
normaliz-libs-3.10.4-r2.apk                        13-Jun-2025 04:56             2629954
notification-daemon-3.20.0-r1.apk                  02-Jul-2025 01:23               60974
nrf5-sdk-17.1.0-r0.apk                             19-Aug-2025 19:54            49237019
nrf5-sdk-doc-17.1.0-r0.apk                         19-Aug-2025 19:54                3715
nsh-0.4.2-r1.apk                                   25-Oct-2024 19:10              591392
nsh-dbg-0.4.2-r1.apk                               25-Oct-2024 19:10             3487587
nsnake-3.0.0-r0.apk                                25-Oct-2024 19:10                9053
nsnake-doc-3.0.0-r0.apk                            25-Oct-2024 19:10                2688
nsq-1.3.0-r10.apk                                  13-May-2025 22:00            25376733
ntpd-rs-1.6.2-r1.apk                               28-Sep-2025 19:44             3872963
ntpd-rs-doc-1.6.2-r1.apk                           28-Sep-2025 19:44               24783
ntpd-rs-openrc-1.6.2-r1.apk                        28-Sep-2025 19:44                1957
nuclei-3.4.10-r1.apk                               06-Sep-2025 16:20            28964275
nuclei-doc-3.4.10-r1.apk                           06-Sep-2025 16:20                2326
nuklear-4.12.0-r0.apk                              25-Oct-2024 19:10              225110
nuklear-doc-4.12.0-r0.apk                          25-Oct-2024 19:10               43452
nullmailer-2.2-r4.apk                              25-Oct-2024 19:10              123484
nullmailer-doc-2.2-r4.apk                          25-Oct-2024 19:10               10459
nullmailer-openrc-2.2-r4.apk                       25-Oct-2024 19:10                1635
numbat-1.16.0-r0.apk                               19-Aug-2025 13:10             1869467
numbat-doc-1.16.0-r0.apk                           19-Aug-2025 13:10               32719
nuzzle-1.6-r0.apk                                  26-Jan-2025 06:27               11468
nuzzle-doc-1.6-r0.apk                              26-Jan-2025 06:27                3281
nvidia-src-575.64.05-r0.apk                        23-Jul-2025 04:04            18571308
nvim-cmp-0.0.0_git20221011-r1.apk                  25-Oct-2024 19:10               56162
nvim-cmp-buffer-0.0.0_git20220810-r1.apk           25-Oct-2024 19:10                7995
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk       25-Oct-2024 19:10                4254
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk          25-Oct-2024 19:10                3393
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk      25-Oct-2024 19:10                1831
nvim-cmp-doc-0.0.0_git20221011-r1.apk              25-Oct-2024 19:10               10710
nvim-cmp-lsp-0.0.0_git20220516-r1.apk              25-Oct-2024 19:10                3563
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk          25-Oct-2024 19:10                2668
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk          25-Oct-2024 19:10                3604
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk      25-Oct-2024 19:10                2086
nvim-cmp-path-0.0.0_git20221002-r1.apk             25-Oct-2024 19:10                3858
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk         25-Oct-2024 19:10                2024
nvim-gruvbox-0.0.0_git20221212-r1.apk              25-Oct-2024 19:10               10418
nvim-gruvbox-doc-0.0.0_git20221212-r1.apk          25-Oct-2024 19:10                2864
nvim-lualine-0.0.0_git20241101-r0.apk              13-Nov-2024 23:12               60976
nvim-lualine-doc-0.0.0_git20241101-r0.apk          13-Nov-2024 23:12               31296
nvim-packer-0.0.0_git20220910-r1.apk               25-Oct-2024 19:10               46702
nvim-packer-doc-0.0.0_git20220910-r1.apk           25-Oct-2024 19:10               21692
nvim-plenary-0.1.4_git20240917-r0.apk              11-Nov-2024 04:07              104452
nvim-plenary-doc-0.1.4_git20240917-r0.apk          11-Nov-2024 04:07               10554
nvim-web-devicons-0.100_git20241011-r0.apk         11-Nov-2024 03:57               27468
nvim-web-devicons-doc-0.100_git20241011-r0.apk     11-Nov-2024 03:57                7290
nvimpager-0.12.0-r0.apk                            25-Oct-2024 19:10               12890
nvimpager-doc-0.12.0-r0.apk                        25-Oct-2024 19:10                4529
nvimpager-zsh-completion-0.12.0-r0.apk             25-Oct-2024 19:10                1869
nvtop-3.2.0-r0.apk                                 03-May-2025 20:03               66931
nvtop-doc-3.2.0-r0.apk                             03-May-2025 20:03                3615
nwg-bar-0.1.6-r13.apk                              06-Sep-2025 16:20             1596541
nwg-displays-0.3.26-r0.apk                         28-Aug-2025 16:59               27307
nwg-displays-pyc-0.3.26-r0.apk                     28-Aug-2025 16:59               36914
nwg-dock-0.4.3-r3.apk                              06-Sep-2025 16:20             1749033
nwg-menu-0.1.9-r2.apk                              06-Sep-2025 16:20             1701657
nwg-menu-doc-0.1.9-r2.apk                          06-Sep-2025 16:20                2317
nwg-panel-0.10.12-r0.apk                           31-Jul-2025 07:28              289616
nwg-panel-doc-0.10.12-r0.apk                       31-Jul-2025 07:28                4546
nwg-panel-pyc-0.10.12-r0.apk                       31-Jul-2025 07:28              276277
nwipe-0.38-r0.apk                                  19-Jun-2025 17:34              274494
nwipe-doc-0.38-r0.apk                              19-Jun-2025 17:34                3895
nymphcast-mediaserver-0.1-r4.apk                   28-Aug-2025 21:08               70785
nymphcast-mediaserver-nftables-0.1-r4.apk          28-Aug-2025 21:08                1737
nzbget-25.3-r0.apk                                 23-Sep-2025 20:43             5052222
nzbget-openrc-25.3-r0.apk                          23-Sep-2025 20:43                2131
oauth2-proxy-7.11.0-r2.apk                         06-Sep-2025 16:20             8598143
oauth2-proxy-openrc-7.11.0-r2.apk                  06-Sep-2025 16:20                2159
objconv-2.52_git20210213-r2.apk                    25-Oct-2024 19:10              247309
oblibs-0.3.4.0-r0.apk                              01-Jun-2025 15:51               34130
oblibs-dbg-0.3.4.0-r0.apk                          01-Jun-2025 15:51               99448
oblibs-dev-0.3.4.0-r0.apk                          01-Jun-2025 15:51              263684
obnc-0.17.2-r0.apk                                 25-May-2025 23:37              149838
obnc-doc-0.17.2-r0.apk                             25-May-2025 23:37               34118
ocaml-alcotest-1.5.0-r4.apk                        25-Oct-2024 19:10              498015
ocaml-alcotest-dev-1.5.0-r4.apk                    25-Oct-2024 19:10              850441
ocaml-amqp-client-2.3.0-r0.apk                     25-Oct-2024 19:10              642264
ocaml-amqp-client-dev-2.3.0-r0.apk                 25-Oct-2024 19:10             1077945
ocaml-angstrom-0.16.0-r0.apk                       25-Oct-2024 19:10              190719
ocaml-angstrom-dev-0.16.0-r0.apk                   25-Oct-2024 19:10              352207
ocaml-arp-3.0.0-r3.apk                             25-Oct-2024 19:10               95276
ocaml-arp-dev-3.0.0-r3.apk                         25-Oct-2024 19:10              165525
ocaml-asn1-combinators-0.2.6-r2.apk                25-Oct-2024 19:10              339191
ocaml-asn1-combinators-dev-0.2.6-r2.apk            25-Oct-2024 19:10              592440
ocaml-astring-0.8.5-r2.apk                         25-Oct-2024 19:10              306689
ocaml-astring-dev-0.8.5-r2.apk                     25-Oct-2024 19:10              189302
ocaml-atd-2.15.0-r0.apk                            25-Oct-2024 19:10             8941738
ocaml-atd-dev-2.15.0-r0.apk                        25-Oct-2024 19:10             2005600
ocaml-base-0.16.3-r0.apk                           25-Oct-2024 19:10             4933502
ocaml-base-dev-0.16.3-r0.apk                       25-Oct-2024 19:10            10156918
ocaml-base64-3.5.0-r2.apk                          25-Oct-2024 19:10               98065
ocaml-base64-dev-3.5.0-r2.apk                      25-Oct-2024 19:10              182079
ocaml-bigarray-compat-1.1.0-r2.apk                 25-Oct-2024 19:10               13462
ocaml-bigarray-compat-dev-1.1.0-r2.apk             25-Oct-2024 19:10               12460
ocaml-bigstringaf-0.9.0-r2.apk                     25-Oct-2024 19:10               52180
ocaml-bigstringaf-dev-0.9.0-r2.apk                 25-Oct-2024 19:10               94218
ocaml-biniou-1.2.1-r5.apk                          25-Oct-2024 19:10              704776
ocaml-biniou-dev-1.2.1-r5.apk                      25-Oct-2024 19:10              378210
ocaml-bisect_ppx-2.8.3-r0.apk                      25-Oct-2024 19:10             6436172
ocaml-bisect_ppx-dev-2.8.3-r0.apk                  25-Oct-2024 19:10              566233
ocaml-bitstring-4.1.0-r3.apk                       25-Oct-2024 19:10             5649589
ocaml-bitstring-dev-4.1.0-r3.apk                   25-Oct-2024 19:10              592285
ocaml-bos-0.2.1-r2.apk                             25-Oct-2024 19:10              503440
ocaml-bos-dev-0.2.1-r2.apk                         25-Oct-2024 19:10              367609
ocaml-ca-certs-0.2.2-r2.apk                        25-Oct-2024 19:10               33926
ocaml-ca-certs-dev-0.2.2-r2.apk                    25-Oct-2024 19:10               41599
ocaml-ca-certs-nss-3.89.1-r1.apk                   25-Oct-2024 19:10              404572
ocaml-ca-certs-nss-dev-3.89.1-r1.apk               25-Oct-2024 19:10              458415
ocaml-ca-certs-nss-tools-3.89.1-r1.apk             25-Oct-2024 19:10             1956526
ocaml-cairo2-0.6.2-r2.apk                          25-Oct-2024 19:10              178300
ocaml-cairo2-dev-0.6.2-r2.apk                      25-Oct-2024 19:10              456865
ocaml-calendar-2.04-r4.apk                         25-Oct-2024 19:10              238945
ocaml-calendar-dev-2.04-r4.apk                     25-Oct-2024 19:10              171955
ocaml-calendar-doc-2.04-r4.apk                     25-Oct-2024 19:10               12156
ocaml-camlpdf-2.8.1-r0.apk                         08-May-2025 12:22             6341662
ocaml-camlzip-1.11-r2.apk                          25-Oct-2024 19:10              123050
ocaml-camlzip-dev-1.11-r2.apk                      25-Oct-2024 19:10              226001
ocaml-camomile-1.0.2-r3.apk                        25-Oct-2024 19:10             1440477
ocaml-camomile-data-1.0.2-r3.apk                   25-Oct-2024 19:10             5343447
ocaml-camomile-dev-1.0.2-r3.apk                    25-Oct-2024 19:10             2620597
ocaml-charinfo_width-1.1.0-r3.apk                  25-Oct-2024 19:10              116278
ocaml-charinfo_width-dev-1.1.0-r3.apk              25-Oct-2024 19:10              196761
ocaml-cmdliner-1.1.1-r3.apk                        25-Oct-2024 19:10              506627
ocaml-cmdliner-dev-1.1.1-r3.apk                    25-Oct-2024 19:10              255824
ocaml-cmdliner-doc-1.1.1-r3.apk                    25-Oct-2024 19:10               20239
ocaml-cohttp-5.3.1-r0.apk                          25-Oct-2024 19:10              731714
ocaml-cohttp-dev-5.3.1-r0.apk                      25-Oct-2024 19:10             1365823
ocaml-cohttp-tools-5.3.1-r0.apk                    25-Oct-2024 19:10            10403167
ocaml-compiler-libs-repackaged-0.12.4-r3.apk       25-Oct-2024 19:10               85110
ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk   25-Oct-2024 19:10              106043
ocaml-conduit-6.1.0-r0.apk                         25-Oct-2024 19:10              312111
ocaml-conduit-dev-6.1.0-r0.apk                     25-Oct-2024 19:10              564748
ocaml-containers-3.7-r2.apk                        25-Oct-2024 19:10             3850671
ocaml-containers-dev-3.7-r2.apk                    25-Oct-2024 19:10             7064652
ocaml-containers-top-3.7-r2.apk                    25-Oct-2024 19:10               25264
ocaml-cpdf-2.8.1-r0.apk                            08-May-2025 12:22             5122351
ocaml-cstruct-6.1.0-r3.apk                         25-Oct-2024 19:10             5797991
ocaml-cstruct-dev-6.1.0-r3.apk                     25-Oct-2024 19:10              728502
ocaml-ctypes-0.20.1-r2.apk                         25-Oct-2024 19:10              989278
ocaml-ctypes-dev-0.20.1-r2.apk                     25-Oct-2024 19:10              898716
ocaml-curses-1.0.10-r2.apk                         25-Oct-2024 19:10              150748
ocaml-curses-dev-1.0.10-r2.apk                     25-Oct-2024 19:10              322701
ocaml-dns-6.2.2-r3.apk                             25-Oct-2024 19:10             2519644
ocaml-dns-dev-6.2.2-r3.apk                         25-Oct-2024 19:10             4387639
ocaml-dns-tools-6.2.2-r3.apk                       25-Oct-2024 19:10            14395221
ocaml-domain-name-0.4.0-r2.apk                     25-Oct-2024 19:10               79011
ocaml-domain-name-dev-0.4.0-r2.apk                 25-Oct-2024 19:10              145619
ocaml-down-0.1.0-r3.apk                            25-Oct-2024 19:10              713540
ocaml-down-dev-0.1.0-r3.apk                        25-Oct-2024 19:10              365108
ocaml-duration-0.2.0-r2.apk                        25-Oct-2024 19:10               29438
ocaml-duration-dev-0.2.0-r2.apk                    25-Oct-2024 19:10               45289
ocaml-easy-format-1.3.4-r1.apk                     25-Oct-2024 19:10               64548
ocaml-easy-format-dev-1.3.4-r1.apk                 25-Oct-2024 19:10              115112
ocaml-eqaf-0.8-r2.apk                              25-Oct-2024 19:10               76634
ocaml-eqaf-dev-0.8-r2.apk                          25-Oct-2024 19:10              125149
ocaml-erm_xml-0_git20211229-r2.apk                 25-Oct-2024 19:10              615074
ocaml-erm_xml-dev-0_git20211229-r2.apk             25-Oct-2024 19:10              813930
ocaml-erm_xmpp-0_git20220404-r2.apk                25-Oct-2024 19:10             1444629
ocaml-erm_xmpp-dev-0_git20220404-r2.apk            25-Oct-2024 19:10             1750250
ocaml-ethernet-3.0.0-r3.apk                        25-Oct-2024 19:10               48440
ocaml-ethernet-dev-3.0.0-r3.apk                    25-Oct-2024 19:10               85980
ocaml-extlib-1.7.9-r2.apk                          25-Oct-2024 19:10              682714
ocaml-extlib-dev-1.7.9-r2.apk                      25-Oct-2024 19:10             1361481
ocaml-extlib-doc-1.7.9-r2.apk                      25-Oct-2024 19:10               10874
ocaml-ezxmlm-1.1.0-r0.apk                          25-Oct-2024 19:10               35068
ocaml-ezxmlm-dev-1.1.0-r0.apk                      25-Oct-2024 19:10               53868
ocaml-fileutils-0.6.4-r2.apk                       25-Oct-2024 19:10              337233
ocaml-fileutils-dev-0.6.4-r2.apk                   25-Oct-2024 19:10              617582
ocaml-fileutils-doc-0.6.4-r2.apk                   25-Oct-2024 19:10               16180
ocaml-fix-20220121-r2.apk                          25-Oct-2024 19:10              177917
ocaml-fix-dev-20220121-r2.apk                      25-Oct-2024 19:10              440880
ocaml-fmt-0.9.0-r2.apk                             25-Oct-2024 19:10              213304
ocaml-fmt-dev-0.9.0-r2.apk                         25-Oct-2024 19:10              130811
ocaml-fpath-0.7.3-r2.apk                           25-Oct-2024 19:10              150926
ocaml-fpath-dev-0.7.3-r2.apk                       25-Oct-2024 19:10              105760
ocaml-gen-1.1-r1.apk                               25-Oct-2024 19:10              342716
ocaml-gen-dev-1.1-r1.apk                           25-Oct-2024 19:10              628472
ocaml-gettext-0.4.2-r3.apk                         25-Oct-2024 19:10             4756308
ocaml-gettext-dev-0.4.2-r3.apk                     25-Oct-2024 19:10              750658
ocaml-gettext-doc-0.4.2-r3.apk                     25-Oct-2024 19:10               19642
ocaml-gitlab-0.1.8-r0.apk                          25-Oct-2024 19:10             3301074
ocaml-gitlab-dev-0.1.8-r0.apk                      25-Oct-2024 19:10            12124382
ocaml-gmap-0.3.0-r2.apk                            25-Oct-2024 19:10               39081
ocaml-gmap-dev-0.3.0-r2.apk                        25-Oct-2024 19:10               76856
ocaml-happy-eyeballs-0.3.0-r2.apk                  25-Oct-2024 19:10               91534
ocaml-happy-eyeballs-dev-0.3.0-r2.apk              25-Oct-2024 19:10              153062
ocaml-happy-eyeballs-lwt-0.3.0-r3.apk              25-Oct-2024 19:10               45309
ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk          25-Oct-2024 19:10               61182
ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk        25-Oct-2024 19:10             3270064
ocaml-hex-1.5.0-r2.apk                             25-Oct-2024 19:10               33317
ocaml-hex-dev-1.5.0-r2.apk                         25-Oct-2024 19:10               55798
ocaml-higlo-0.9-r0.apk                             25-Oct-2024 19:10             6551914
ocaml-higlo-dev-0.9-r0.apk                         25-Oct-2024 19:10              697402
ocaml-hkdf-1.0.4-r2.apk                            25-Oct-2024 19:10               15466
ocaml-hkdf-dev-1.0.4-r2.apk                        25-Oct-2024 19:10               18879
ocaml-integers-0.7.0-r2.apk                        25-Oct-2024 19:10              138373
ocaml-integers-dev-0.7.0-r2.apk                    25-Oct-2024 19:10              283497
ocaml-ipaddr-5.3.1-r2.apk                          25-Oct-2024 19:10              347345
ocaml-ipaddr-dev-5.3.1-r2.apk                      25-Oct-2024 19:10              577913
ocaml-iri-1.0.0-r0.apk                             25-Oct-2024 19:10             1951237
ocaml-iri-dev-1.0.0-r0.apk                         25-Oct-2024 19:10              791633
ocaml-iso8601-0.2.6-r0.apk                         25-Oct-2024 19:10               57411
ocaml-iso8601-dev-0.2.6-r0.apk                     25-Oct-2024 19:10               90321
ocaml-jsonm-1.0.2-r0.apk                           25-Oct-2024 19:10              133578
ocaml-jsonm-dev-1.0.2-r0.apk                       25-Oct-2024 19:10               72643
ocaml-jsonm-tools-1.0.2-r0.apk                     25-Oct-2024 19:10              592038
ocaml-lablgtk3-3.1.2-r3.apk                        25-Oct-2024 19:10             8773608
ocaml-lablgtk3-dev-3.1.2-r3.apk                    25-Oct-2024 19:10            14635035
ocaml-lablgtk3-extras-3.0.1-r2.apk                 25-Oct-2024 19:10              935758
ocaml-lablgtk3-extras-dev-3.0.1-r2.apk             25-Oct-2024 19:10             1613194
ocaml-labltk-8.06.12-r2.apk                        25-Oct-2024 19:10             3013514
ocaml-labltk-dev-8.06.12-r2.apk                    25-Oct-2024 19:10             1558961
ocaml-lambda-term-3.2.0-r4.apk                     25-Oct-2024 19:10             4189790
ocaml-lambda-term-dev-3.2.0-r4.apk                 25-Oct-2024 19:10             4044075
ocaml-lambda-term-doc-3.2.0-r4.apk                 25-Oct-2024 19:10                9012
ocaml-lambdasoup-0.7.3-r2.apk                      25-Oct-2024 19:10              203879
ocaml-lambdasoup-dev-0.7.3-r2.apk                  25-Oct-2024 19:10              356881
ocaml-libvirt-0.6.1.7-r0.apk                       25-Oct-2024 19:10              181484
ocaml-libvirt-dev-0.6.1.7-r0.apk                   25-Oct-2024 19:10              106540
ocaml-libvirt-doc-0.6.1.7-r0.apk                   25-Oct-2024 19:10               14057
ocaml-logs-0.7.0-r3.apk                            25-Oct-2024 19:10              134085
ocaml-logs-dev-0.7.0-r3.apk                        25-Oct-2024 19:10               91162
ocaml-lru-0.3.0-r2.apk                             25-Oct-2024 19:10               81688
ocaml-lru-dev-0.3.0-r2.apk                         25-Oct-2024 19:10              167831
ocaml-lwd-0.3-r0.apk                               25-Oct-2024 19:10              529920
ocaml-lwd-dev-0.3-r0.apk                           25-Oct-2024 19:10             1049052
ocaml-lwt-5.7.0-r0.apk                             25-Oct-2024 19:10             1320784
ocaml-lwt-dev-5.7.0-r0.apk                         25-Oct-2024 19:10             3324245
ocaml-lwt-dllist-1.0.1-r3.apk                      25-Oct-2024 19:10               24866
ocaml-lwt-dllist-dev-1.0.1-r3.apk                  25-Oct-2024 19:10               41115
ocaml-lwt_log-1.1.1-r5.apk                         25-Oct-2024 19:10              143503
ocaml-lwt_log-dev-1.1.1-r5.apk                     25-Oct-2024 19:10              264776
ocaml-lwt_ppx-5.7.0-r0.apk                         25-Oct-2024 19:10             5405467
ocaml-lwt_react-5.7.0-r0.apk                       25-Oct-2024 19:10              127879
ocaml-lwt_ssl-1.2.0-r0.apk                         25-Oct-2024 19:10               32477
ocaml-lwt_ssl-dev-1.2.0-r0.apk                     25-Oct-2024 19:10               48655
ocaml-magic-mime-1.3.1-r0.apk                      25-Oct-2024 19:10              266044
ocaml-magic-mime-dev-1.3.1-r0.apk                  25-Oct-2024 19:10              342653
ocaml-markup-1.0.3-r3.apk                          25-Oct-2024 19:10             1184404
ocaml-markup-dev-1.0.3-r3.apk                      25-Oct-2024 19:10             2244271
ocaml-menhir-20220210-r3.apk                       08-Dec-2024 16:19             1831917
ocaml-menhir-dev-20220210-r3.apk                   08-Dec-2024 16:19              934084
ocaml-menhir-doc-20220210-r3.apk                   08-Dec-2024 16:19              624486
ocaml-merlin-extend-0.6.1-r2.apk                   25-Oct-2024 19:10               53962
ocaml-merlin-extend-dev-0.6.1-r2.apk               25-Oct-2024 19:10               93864
ocaml-metrics-0.4.0-r3.apk                         25-Oct-2024 19:10              272988
ocaml-metrics-dev-0.4.0-r3.apk                     25-Oct-2024 19:10              466915
ocaml-mew-0.1.0-r3.apk                             25-Oct-2024 19:10               73777
ocaml-mew-dev-0.1.0-r3.apk                         25-Oct-2024 19:10               99454
ocaml-mew_vi-0.5.0-r3.apk                          25-Oct-2024 19:10              195712
ocaml-mew_vi-dev-0.5.0-r3.apk                      25-Oct-2024 19:10              305055
ocaml-mikmatch-1.0.9-r2.apk                        25-Oct-2024 19:10              151137
ocaml-mirage-clock-4.2.0-r2.apk                    25-Oct-2024 19:10               34132
ocaml-mirage-clock-dev-4.2.0-r2.apk                25-Oct-2024 19:10               35081
ocaml-mirage-crypto-0.10.6-r3.apk                  25-Oct-2024 19:10              961302
ocaml-mirage-crypto-dev-0.10.6-r3.apk              25-Oct-2024 19:10             2723246
ocaml-mirage-flow-3.0.0-r3.apk                     25-Oct-2024 19:10              121854
ocaml-mirage-flow-dev-3.0.0-r3.apk                 25-Oct-2024 19:10              200870
ocaml-mirage-kv-4.0.1-r3.apk                       25-Oct-2024 19:10               22947
ocaml-mirage-kv-dev-4.0.1-r3.apk                   25-Oct-2024 19:10               44466
ocaml-mirage-net-4.0.0-r3.apk                      25-Oct-2024 19:10               13957
ocaml-mirage-net-dev-4.0.0-r3.apk                  25-Oct-2024 19:10               20107
ocaml-mirage-profile-0.9.1-r3.apk                  25-Oct-2024 19:10               27854
ocaml-mirage-profile-dev-0.9.1-r3.apk              25-Oct-2024 19:10               32406
ocaml-mirage-random-3.0.0-r3.apk                   25-Oct-2024 19:10                7909
ocaml-mirage-random-dev-3.0.0-r3.apk               25-Oct-2024 19:10                5499
ocaml-mirage-time-3.0.0-r4.apk                     25-Oct-2024 19:10               14256
ocaml-mirage-time-dev-3.0.0-r4.apk                 25-Oct-2024 19:10                8389
ocaml-mmap-1.2.0-r3.apk                            25-Oct-2024 19:10                8004
ocaml-mmap-dev-1.2.0-r3.apk                        25-Oct-2024 19:10                6680
ocaml-mqtt-0.2.2-r0.apk                            25-Oct-2024 19:10              170290
ocaml-mqtt-dev-0.2.2-r0.apk                        25-Oct-2024 19:10              266317
ocaml-mtime-1.4.0-r2.apk                           25-Oct-2024 19:10               55537
ocaml-mtime-dev-1.4.0-r2.apk                       25-Oct-2024 19:10               49748
ocaml-notty-0.2.3-r0.apk                           25-Oct-2024 19:10              336851
ocaml-notty-dev-0.2.3-r0.apk                       25-Oct-2024 19:10              629576
ocaml-num-1.4-r3.apk                               25-Oct-2024 19:10              273890
ocaml-num-dev-1.4-r3.apk                           25-Oct-2024 19:10               97202
ocaml-obuild-0.1.11-r0.apk                         25-Oct-2024 19:10             1493305
ocaml-ocf-0.8.0-r3.apk                             25-Oct-2024 19:10            11228046
ocaml-ocf-dev-0.8.0-r3.apk                         25-Oct-2024 19:10              332862
ocaml-ocp-indent-1.8.2-r2.apk                      25-Oct-2024 19:10              584643
ocaml-ocp-indent-dev-1.8.2-r2.apk                  25-Oct-2024 19:10              653686
ocaml-ocp-index-1.3.6-r0.apk                       25-Oct-2024 19:10              500213
ocaml-ocp-index-dev-1.3.6-r0.apk                   25-Oct-2024 19:10              541998
ocaml-ocplib-endian-1.2-r3.apk                     25-Oct-2024 19:10              169390
ocaml-ocplib-endian-dev-1.2-r3.apk                 25-Oct-2024 19:10              270417
ocaml-omake-0.10.6-r0.apk                          25-Oct-2024 19:10             2279300
ocaml-omake-doc-0.10.6-r0.apk                      25-Oct-2024 19:10                8228
ocaml-omod-0.0.3-r3.apk                            25-Oct-2024 19:10              360361
ocaml-omod-bin-0.0.3-r3.apk                        25-Oct-2024 19:10             3888317
ocaml-omod-dev-0.0.3-r3.apk                        25-Oct-2024 19:10              223360
ocaml-otoml-1.0.5-r0.apk                           25-Oct-2024 19:10              470681
ocaml-otoml-dev-1.0.5-r0.apk                       25-Oct-2024 19:10              801670
ocaml-otr-0.3.10-r2.apk                            25-Oct-2024 19:10              307019
ocaml-otr-dev-0.3.10-r2.apk                        25-Oct-2024 19:10              544247
ocaml-ounit-2.2.7-r3.apk                           25-Oct-2024 19:10              590422
ocaml-ounit-dev-2.2.7-r3.apk                       25-Oct-2024 19:10             1108708
ocaml-parsexp-0.16.0-r0.apk                        25-Oct-2024 19:10              410763
ocaml-parsexp-dev-0.16.0-r0.apk                    25-Oct-2024 19:10              987546
ocaml-pbkdf-1.2.0-r2.apk                           25-Oct-2024 19:10               19217
ocaml-pbkdf-dev-1.2.0-r2.apk                       25-Oct-2024 19:10               26098
ocaml-pcre-7.5.0-r4.apk                            25-Oct-2024 19:10              159427
ocaml-pcre-dev-7.5.0-r4.apk                        25-Oct-2024 19:10              312349
ocaml-ppx_blob-0.8.0-r0.apk                        25-Oct-2024 19:10             5305775
ocaml-ppx_blob-dev-0.8.0-r0.apk                    25-Oct-2024 19:10               19316
ocaml-ppx_derivers-1.2.1-r2.apk                    25-Oct-2024 19:10               10811
ocaml-ppx_derivers-dev-1.2.1-r2.apk                25-Oct-2024 19:10               11620
ocaml-ppx_deriving-5.3.0-r0.apk                    25-Oct-2024 19:10             6942598
ocaml-ppx_deriving-dev-5.3.0-r0.apk                25-Oct-2024 19:10             1381171
ocaml-ppx_sexp_conv-0.16.0-r0.apk                  25-Oct-2024 19:10              630736
ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk              25-Oct-2024 19:10             1208474
ocaml-ppxlib-0.32.0-r0.apk                         25-Oct-2024 19:10            15111747
ocaml-ppxlib-dev-0.32.0-r0.apk                     25-Oct-2024 19:10            16864933
ocaml-psq-0.2.0-r2.apk                             25-Oct-2024 19:10              104236
ocaml-psq-dev-0.2.0-r2.apk                         25-Oct-2024 19:10              178113
ocaml-ptime-1.0.0-r2.apk                           25-Oct-2024 19:10              124697
ocaml-ptime-dev-1.0.0-r2.apk                       25-Oct-2024 19:10               77873
ocaml-ptmap-2.0.5-r3.apk                           25-Oct-2024 19:10               57917
ocaml-ptmap-dev-2.0.5-r3.apk                       25-Oct-2024 19:10               97083
ocaml-qcheck-0.18.1-r3.apk                         25-Oct-2024 19:10              726419
ocaml-qcheck-dev-0.18.1-r3.apk                     25-Oct-2024 19:10             1419466
ocaml-qtest-2.11.2-r3.apk                          25-Oct-2024 19:10              427909
ocaml-qtest-dev-2.11.2-r3.apk                      25-Oct-2024 19:10                3682
ocaml-randomconv-0.1.3-r2.apk                      25-Oct-2024 19:10               15368
ocaml-randomconv-dev-0.1.3-r2.apk                  25-Oct-2024 19:10               18422
ocaml-re-1.11.0-r1.apk                             25-Oct-2024 19:10              572750
ocaml-re-dev-1.11.0-r1.apk                         25-Oct-2024 19:10             1009231
ocaml-react-1.2.2-r2.apk                           25-Oct-2024 19:10              304249
ocaml-react-dev-1.2.2-r2.apk                       25-Oct-2024 19:10              203165
ocaml-reason-3.8.2-r1.apk                          25-Oct-2024 19:10            16727493
ocaml-reason-dev-3.8.2-r1.apk                      25-Oct-2024 19:10            30197187
ocaml-result-1.5-r2.apk                            25-Oct-2024 19:10                9848
ocaml-result-dev-1.5-r2.apk                        25-Oct-2024 19:10                8203
ocaml-rresult-0.7.0-r2.apk                         25-Oct-2024 19:10               44042
ocaml-rresult-dev-0.7.0-r2.apk                     25-Oct-2024 19:10               36530
ocaml-sedlex-3.2-r0.apk                            25-Oct-2024 19:10             6232622
ocaml-sedlex-dev-3.2-r0.apk                        25-Oct-2024 19:10             1625370
ocaml-seq-0.3.1-r2.apk                             25-Oct-2024 19:10               15771
ocaml-seq-dev-0.3.1-r2.apk                         25-Oct-2024 19:10               20710
ocaml-sexplib-0.16.0-r0.apk                        25-Oct-2024 19:10              520744
ocaml-sexplib-dev-0.16.0-r0.apk                    25-Oct-2024 19:10              849499
ocaml-sexplib0-0.16.0-r0.apk                       25-Oct-2024 19:10              183610
ocaml-sexplib0-dev-0.16.0-r0.apk                   25-Oct-2024 19:10              345650
ocaml-sha-1.15.4-r0.apk                            25-Oct-2024 19:10               69276
ocaml-sha-dev-1.15.4-r0.apk                        25-Oct-2024 19:10              226885
ocaml-ssl-0.7.0-r0.apk                             25-Oct-2024 19:10              103778
ocaml-ssl-dev-0.7.0-r0.apk                         25-Oct-2024 19:10              215719
ocaml-stdlib-shims-0.3.0-r2.apk                    25-Oct-2024 19:10                4580
ocaml-stk-0.1.0-r0.apk                             25-Oct-2024 19:10             6641002
ocaml-stk-dev-0.1.0-r0.apk                         25-Oct-2024 19:10            10467011
ocaml-stringext-1.6.0-r2.apk                       25-Oct-2024 19:10               47185
ocaml-stringext-dev-1.6.0-r2.apk                   25-Oct-2024 19:10               80261
ocaml-tcpip-7.1.2-r3.apk                           25-Oct-2024 19:10             1371175
ocaml-tcpip-dev-7.1.2-r3.apk                       25-Oct-2024 19:10             2555001
ocaml-tls-0.15.3-r4.apk                            25-Oct-2024 19:10             1374456
ocaml-tls-dev-0.15.3-r4.apk                        25-Oct-2024 19:10             2492747
ocaml-tophide-1.0.4-r2.apk                         25-Oct-2024 19:10                5784
ocaml-topkg-1.0.5-r2.apk                           25-Oct-2024 19:10              670452
ocaml-topkg-dev-1.0.5-r2.apk                       25-Oct-2024 19:10              427647
ocaml-trie-1.0.0-r2.apk                            25-Oct-2024 19:10               17151
ocaml-trie-dev-1.0.0-r2.apk                        25-Oct-2024 19:10               26092
ocaml-tsdl-1.0.0-r0.apk                            25-Oct-2024 19:10              968720
ocaml-tsdl-dev-1.0.0-r0.apk                        25-Oct-2024 19:10              596069
ocaml-tsdl-image-0.6-r0.apk                        25-Oct-2024 19:10               53431
ocaml-tsdl-image-dev-0.6-r0.apk                    25-Oct-2024 19:10               80232
ocaml-tsdl-ttf-0.6-r0.apk                          25-Oct-2024 19:10               68663
ocaml-tsdl-ttf-dev-0.6-r0.apk                      25-Oct-2024 19:10              112258
ocaml-uri-4.2.0-r2.apk                             25-Oct-2024 19:10             1607358
ocaml-uri-dev-4.2.0-r2.apk                         25-Oct-2024 19:10             4534757
ocaml-utop-2.9.1-r4.apk                            25-Oct-2024 19:10              357607
ocaml-utop-dev-2.9.1-r4.apk                        25-Oct-2024 19:10              781087
ocaml-uucd-14.0.0-r2.apk                           25-Oct-2024 19:10              297402
ocaml-uucd-dev-14.0.0-r2.apk                       25-Oct-2024 19:10              177034
ocaml-uucp-14.0.0-r2.apk                           25-Oct-2024 19:10             6172093
ocaml-uucp-dev-14.0.0-r2.apk                       25-Oct-2024 19:10             1299623
ocaml-uuidm-0.9.8-r2.apk                           25-Oct-2024 19:10               49978
ocaml-uuidm-dev-0.9.8-r2.apk                       25-Oct-2024 19:10               26072
ocaml-uuidm-tools-0.9.8-r2.apk                     25-Oct-2024 19:10              590381
ocaml-uunf-14.0.0-r2.apk                           25-Oct-2024 19:10             1437472
ocaml-uunf-dev-14.0.0-r2.apk                       25-Oct-2024 19:10              272139
ocaml-uuseg-14.0.0-r2.apk                          25-Oct-2024 19:10              100980
ocaml-uuseg-dev-14.0.0-r2.apk                      25-Oct-2024 19:10               49486
ocaml-uuseg-tools-14.0.0-r2.apk                    25-Oct-2024 19:10             1915055
ocaml-uutf-1.0.3-r2.apk                            25-Oct-2024 19:10              804408
ocaml-uutf-dev-1.0.3-r2.apk                        25-Oct-2024 19:10               58267
ocaml-x509-0.16.0-r2.apk                           25-Oct-2024 19:10              904367
ocaml-x509-dev-0.16.0-r2.apk                       25-Oct-2024 19:10             1630184
ocaml-xml-light-2.5-r0.apk                         25-Oct-2024 19:10              233654
ocaml-xml-light-dev-2.5-r0.apk                     25-Oct-2024 19:10              398338
ocaml-xmlm-1.4.0-r2.apk                            25-Oct-2024 19:10              692082
ocaml-xmlm-dev-1.4.0-r2.apk                        25-Oct-2024 19:10              103974
ocaml-xtmpl-0.19.0-r0.apk                          25-Oct-2024 19:10            12553388
ocaml-xtmpl-dev-0.19.0-r0.apk                      25-Oct-2024 19:10              798663
ocaml-yojson-2.1.2-r0.apk                          25-Oct-2024 19:10             1129766
ocaml-yojson-dev-2.1.2-r0.apk                      25-Oct-2024 19:10             1759729
ocaml-zed-3.1.0-r3.apk                             25-Oct-2024 19:10              555515
ocaml-zed-dev-3.1.0-r3.apk                         25-Oct-2024 19:10             1189577
ocaml5-llvm-next-22.0.0_pre20250926-r0.apk         27-Sep-2025 11:40              692786
ocamlnet-4.1.9-r2.apk                              25-Oct-2024 19:10            18213438
ocamlnet-dev-4.1.9-r2.apk                          25-Oct-2024 19:10             6396289
ocamlnet-tcl-4.1.9-r2.apk                          25-Oct-2024 19:10               57696
ocfs2-tools-1.8.7-r4.apk                           25-Oct-2024 19:10             1181542
ocfs2-tools-dev-1.8.7-r4.apk                       25-Oct-2024 19:10               46025
ocfs2-tools-doc-1.8.7-r4.apk                       25-Oct-2024 19:10               71018
ocp-indent-1.8.2-r2.apk                            25-Oct-2024 19:10             1559277
ocp-indent-doc-1.8.2-r2.apk                        25-Oct-2024 19:10               17382
ocp-indent-emacs-1.8.2-r2.apk                      25-Oct-2024 19:10                3818
ocp-indent-vim-1.8.2-r2.apk                        25-Oct-2024 19:10                2471
ocp-index-1.3.6-r0.apk                             25-Oct-2024 19:10             7899924
ocp-index-doc-1.3.6-r0.apk                         25-Oct-2024 19:10               31400
ocp-index-emacs-1.3.6-r0.apk                       25-Oct-2024 19:10                6444
ocp-index-vim-1.3.6-r0.apk                         25-Oct-2024 19:10                3134
octoprint-1.10.3-r0.apk                            25-Dec-2024 22:04             3179033
octoprint-creality2xfix-0.0.4-r2.apk               25-Oct-2024 19:10                4822
octoprint-creality2xfix-pyc-0.0.4-r2.apk           25-Oct-2024 19:10                3413
octoprint-filecheck-2024.11.12-r0.apk              12-Jul-2025 16:01               28666
octoprint-filecheck-pyc-2024.11.12-r0.apk          12-Jul-2025 16:01               11915
octoprint-firmwarecheck-2021.10.11-r2.apk          25-Oct-2024 19:10               30008
octoprint-firmwarecheck-pyc-2021.10.11-r2.apk      25-Oct-2024 19:10               18019
octoprint-openrc-1.10.3-r0.apk                     25-Dec-2024 22:04                1749
octoprint-pisupport-2023.10.10-r1.apk              25-Oct-2024 19:10               31576
octoprint-pisupport-pyc-2023.10.10-r1.apk          25-Oct-2024 19:10               13121
octoprint-pyc-1.10.3-r0.apk                        25-Dec-2024 22:04             1297614
odin-0.2025.09-r0.apk                              09-Sep-2025 08:00             3162636
odin-doc-0.2025.09-r0.apk                          09-Sep-2025 08:00                4953
odin-vendor-0.2025.09-r0.apk                       09-Sep-2025 08:00             3913057
oha-1.10.0-r0.apk                                  11-Sep-2025 11:39             3090075
oha-doc-1.10.0-r0.apk                              11-Sep-2025 11:39                2293
oil-0.21.0-r0.apk                                  25-Oct-2024 19:10             1532160
oil-doc-0.21.0-r0.apk                              25-Oct-2024 19:10                7163
oils-for-unix-0.35.0-r0.apk                        28-Sep-2025 22:29              632595
oils-for-unix-bash-0.35.0-r0.apk                   28-Sep-2025 22:29                1720
oils-for-unix-binsh-0.35.0-r0.apk                  28-Sep-2025 22:29                1559
oils-for-unix-doc-0.35.0-r0.apk                    28-Sep-2025 22:29                7190
ol-2.6-r0.apk                                      03-May-2025 20:03             1071142
ol-dev-2.6-r0.apk                                  03-May-2025 20:03               16216
ol-doc-2.6-r0.apk                                  03-May-2025 20:03                2647
olab-0.1.8-r0.apk                                  25-Oct-2024 19:10             4678338
olsrd-0.9.8-r3.apk                                 25-Oct-2024 19:10              167383
olsrd-doc-0.9.8-r3.apk                             25-Oct-2024 19:10               25672
olsrd-openrc-0.9.8-r3.apk                          25-Oct-2024 19:10                1921
olsrd-plugins-0.9.8-r3.apk                         25-Oct-2024 19:10              202388
onionshare-2.6.3-r0.apk                            06-Sep-2025 16:20              183977
onionshare-desktop-2.6.3-r0.apk                    06-Sep-2025 16:20             1361238
onionshare-pyc-2.6.3-r0.apk                        06-Sep-2025 16:20              246363
oniux-0.6.1-r0.apk                                 08-Sep-2025 15:37             6058792
oniux-doc-0.6.1-r0.apk                             08-Sep-2025 15:37                3330
onnxruntime-1.22.2-r1.apk                          02-Oct-2025 14:07            10257285
onnxruntime-dev-1.22.2-r1.apk                      02-Oct-2025 14:07              117557
opa-1.6.0-r2.apk                                   06-Sep-2025 16:20            10197882
opa-bash-completion-1.6.0-r2.apk                   06-Sep-2025 16:20                6242
opa-doc-1.6.0-r2.apk                               06-Sep-2025 16:20               23461
opa-fish-completion-1.6.0-r2.apk                   06-Sep-2025 16:20                4416
opa-zsh-completion-1.6.0-r2.apk                    06-Sep-2025 16:20                4136
opatch-7.6f-r0.apk                                 26-Sep-2025 12:14               26569
opatch-doc-7.6f-r0.apk                             26-Sep-2025 12:14                9341
opcr-policy-0.3.0-r7.apk                           06-Sep-2025 16:20             8802008
openapi-tui-0.9.4-r1.apk                           25-Oct-2024 19:10             4516958
openapi-validator-1.19.2-r0.apk                    25-Oct-2024 19:10            10422568
openconnect-sso-0.8.0_git20230822-r0.apk           14-May-2025 09:13               29953
openconnect-sso-pyc-0.8.0_git20230822-r0.apk       14-May-2025 09:13               29973
opendht-3.1.11-r0.apk                              27-Jan-2025 20:56              186609
opendht-dev-3.1.11-r0.apk                          27-Jan-2025 20:56               72587
opendht-doc-3.1.11-r0.apk                          27-Jan-2025 20:56                3095
opendht-libs-3.1.11-r0.apk                         27-Jan-2025 20:56              578856
openfire-4.8.1-r1.apk                              04-Dec-2024 11:57            48006455
openfire-doc-4.8.1-r1.apk                          04-Dec-2024 11:57             3963697
openfire-openrc-4.8.1-r1.apk                       04-Dec-2024 11:57                1961
openfire-plugins-4.8.1-r1.apk                      04-Dec-2024 11:57               74087
openfortivpn-1.22.1-r0.apk                         11-Dec-2024 21:34               41961
openfortivpn-doc-1.22.1-r0.apk                     11-Dec-2024 21:34                6447
openfpgaloader-0.11.0-r0.apk                       25-Oct-2024 19:10             2042194
openjdk22-22.0.2_p9-r3.apk                         17-Feb-2025 15:07                1509
openjdk22-demos-22.0.2_p9-r3.apk                   17-Feb-2025 15:07             5463985
openjdk22-doc-22.0.2_p9-r3.apk                     17-Feb-2025 15:07              191502
openjdk22-jdk-22.0.2_p9-r3.apk                     17-Feb-2025 15:07             6961233
openjdk22-jmods-22.0.2_p9-r3.apk                   17-Feb-2025 15:07            79110246
openjdk22-jre-22.0.2_p9-r3.apk                     17-Feb-2025 15:07             1043408
openjdk22-jre-headless-22.0.2_p9-r3.apk            17-Feb-2025 15:08            63818507
openjdk22-src-22.0.2_p9-r3.apk                     17-Feb-2025 15:08            50451936
openjdk22-static-libs-22.0.2_p9-r3.apk             17-Feb-2025 15:08            21093232
openjdk23-23.0.2_p7-r1.apk                         17-Feb-2025 15:08                1508
openjdk23-demos-23.0.2_p7-r1.apk                   17-Feb-2025 15:08             5471561
openjdk23-doc-23.0.2_p7-r1.apk                     17-Feb-2025 15:08              192846
openjdk23-jdk-23.0.2_p7-r1.apk                     17-Feb-2025 15:08             7116101
openjdk23-jmods-23.0.2_p7-r1.apk                   17-Feb-2025 15:08            83131819
openjdk23-jre-23.0.2_p7-r1.apk                     17-Feb-2025 15:08             1044058
openjdk23-jre-headless-23.0.2_p7-r1.apk            17-Feb-2025 15:08            68359868
openjdk23-src-23.0.2_p7-r1.apk                     17-Feb-2025 15:08            50270225
openjdk23-static-libs-23.0.2_p7-r1.apk             17-Feb-2025 15:08            20389892
openjdk24-24.0.2_p12-r0.apk                        23-Jul-2025 04:04                1562
openjdk24-demos-24.0.2_p12-r0.apk                  23-Jul-2025 04:04             5580280
openjdk24-doc-24.0.2_p12-r0.apk                    23-Jul-2025 04:04            33409506
openjdk24-jdk-24.0.2_p12-r0.apk                    23-Jul-2025 04:04             7449875
openjdk24-jmods-24.0.2_p12-r0.apk                  23-Jul-2025 04:04            83796023
openjdk24-jre-24.0.2_p12-r0.apk                    23-Jul-2025 04:04             1069496
openjdk24-jre-headless-24.0.2_p12-r0.apk           23-Jul-2025 04:04            77178849
openjdk24-src-24.0.2_p12-r0.apk                    23-Jul-2025 04:04            50448463
openjdk24-static-libs-24.0.2_p12-r0.apk            23-Jul-2025 04:04            21117652
openjdk25-25.0.0_p36-r0.apk                        17-Sep-2025 07:06                1564
openjdk25-demos-25.0.0_p36-r0.apk                  17-Sep-2025 07:06             5592486
openjdk25-doc-25.0.0_p36-r0.apk                    17-Sep-2025 07:06            34140964
openjdk25-jdk-25.0.0_p36-r0.apk                    17-Sep-2025 07:06             7624925
openjdk25-jmods-25.0.0_p36-r0.apk                  17-Sep-2025 07:06            84575389
openjdk25-jre-25.0.0_p36-r0.apk                    17-Sep-2025 07:06             1129532
openjdk25-jre-headless-25.0.0_p36-r0.apk           17-Sep-2025 07:06            78162740
openjdk25-src-25.0.0_p36-r0.apk                    17-Sep-2025 07:06            49909661
openjdk25-static-libs-25.0.0_p36-r0.apk            17-Sep-2025 07:06            20688735
openocd-esp32-0_git20250422-r1.apk                 05-Jul-2025 19:52             1977227
openocd-esp32-dev-0_git20250422-r1.apk             05-Jul-2025 19:52                3747
openocd-esp32-doc-0_git20250422-r1.apk             05-Jul-2025 19:52                3283
openocd-esp32-udev-rules-0_git20250422-r1.apk      05-Jul-2025 19:52                3566
openocd-git-0_git20240113-r1.apk                   25-Oct-2024 19:10             1679038
openocd-git-cmd-openocd-0_git20240113-r1.apk       25-Oct-2024 19:10                1539
openocd-git-dbg-0_git20240113-r1.apk               25-Oct-2024 19:10             4259807
openocd-git-dev-0_git20240113-r1.apk               25-Oct-2024 19:10                3496
openocd-git-doc-0_git20240113-r1.apk               25-Oct-2024 19:10                3373
openocd-git-udev-rules-0_git20240113-r1.apk        25-Oct-2024 19:10                3497
openocd-riscv-0_git20230104-r2.apk                 25-Oct-2024 19:10             1549913
openocd-riscv-dev-0_git20230104-r2.apk             25-Oct-2024 19:10                3812
openocd-riscv-doc-0_git20230104-r2.apk             25-Oct-2024 19:10                3367
openocd-riscv-udev-rules-0_git20230104-r2.apk      25-Oct-2024 19:10                3349
openra-20231010-r1.apk                             14-May-2025 18:17            24672893
openrdap-0.9.1-r0.apk                              24-Jun-2025 15:50             3286217
openrdap-doc-0.9.1-r0.apk                          24-Jun-2025 15:50                2281
openscap-daemon-0.1.10-r9.apk                      25-Oct-2024 19:10               61605
openscap-daemon-doc-0.1.10-r9.apk                  25-Oct-2024 19:10               17965
openscap-daemon-pyc-0.1.10-r9.apk                  25-Oct-2024 19:10              104324
openslide-3.4.1-r3.apk                             25-Oct-2024 19:10               82989
openslide-dev-3.4.1-r3.apk                         25-Oct-2024 19:10                7043
openslide-doc-3.4.1-r3.apk                         25-Oct-2024 19:10                5065
openslide-tools-3.4.1-r3.apk                       25-Oct-2024 19:10               14127
openswitcher-0.5.0-r4.apk                          25-Oct-2024 19:10              151966
openswitcher-proxy-0.5.0-r4.apk                    25-Oct-2024 19:10                9604
openswitcher-proxy-openrc-0.5.0-r4.apk             25-Oct-2024 19:10                2084
opentelemetry-cpp-1.22.0-r1.apk                    02-Oct-2025 14:07              613830
opentelemetry-cpp-dev-1.22.0-r1.apk                02-Oct-2025 14:07              517378
opentelemetry-cpp-exporter-otlp-common-1.22.0-r..> 02-Oct-2025 14:07               46704
opentelemetry-cpp-exporter-otlp-grpc-1.22.0-r1.apk 02-Oct-2025 14:07               54607
opentelemetry-cpp-exporter-otlp-http-1.22.0-r1.apk 02-Oct-2025 14:07               81941
opentelemetry-cpp-exporter-zipkin-1.22.0-r1.apk    02-Oct-2025 14:07               47377
openvpn3-3.8.5-r1.apk                              17-Feb-2025 15:08              386284
openvpn3-dev-3.8.5-r1.apk                          17-Feb-2025 15:08              683024
openwsman-2.8.1-r1.apk                             30-Jun-2025 07:25               49483
openwsman-dev-2.8.1-r1.apk                         30-Jun-2025 07:25               57043
openwsman-doc-2.8.1-r1.apk                         30-Jun-2025 07:25                2454
openwsman-libs-2.8.1-r1.apk                        30-Jun-2025 07:25              325455
opkg-0.7.0-r0.apk                                  25-Oct-2024 19:10               10487
opkg-dev-0.7.0-r0.apk                              25-Oct-2024 19:10              118436
opkg-doc-0.7.0-r0.apk                              25-Oct-2024 19:10                7835
opkg-libs-0.7.0-r0.apk                             25-Oct-2024 19:10               81370
opkg-utils-0.7.0-r0.apk                            25-Oct-2024 19:10               25804
opkg-utils-doc-0.7.0-r0.apk                        25-Oct-2024 19:10                3704
opmsg-1.84-r1.apk                                  25-Oct-2024 19:10              255958
optee-client-3.20.0-r0.apk                         25-Oct-2024 19:10               21533
optee-client-dev-3.20.0-r0.apk                     25-Oct-2024 19:10               29283
optee-client-libs-3.20.0-r0.apk                    25-Oct-2024 19:10               40280
orage-4.20.1-r0.apk                                08-Apr-2025 11:49              589743
orage-lang-4.20.1-r0.apk                           08-Apr-2025 11:49             1265932
osmctools-0.9-r0.apk                               25-Oct-2024 19:10              123053
ostui-1.0.4-r0.apk                                 11-Sep-2025 02:50             4825279
ostui-doc-1.0.4-r0.apk                             11-Sep-2025 02:50               28608
otf-0.4.1-r0.apk                                   11-Sep-2025 08:18                1294
otf-agent-0.4.1-r0.apk                             11-Sep-2025 08:18             8262440
otf-agent-openrc-0.4.1-r0.apk                      11-Sep-2025 08:18                2044
otf-atkinson-hyperlegible-2020.0514-r1.apk         25-Oct-2024 19:10              104063
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk     25-Oct-2024 19:10               48831
otf-cli-0.4.1-r0.apk                               11-Sep-2025 08:18             8112154
otf-server-0.4.1-r0.apk                            11-Sep-2025 08:18            12929553
otf-server-openrc-0.4.1-r0.apk                     11-Sep-2025 08:18                2043
otpclient-4.1.1-r0.apk                             23-Jul-2025 04:04              117832
otpclient-doc-4.1.1-r0.apk                         23-Jul-2025 04:04                3732
otrs-6.0.48-r2.apk                                 25-Oct-2024 19:10            30073387
otrs-apache2-6.0.48-r2.apk                         25-Oct-2024 19:10                4124
otrs-bash-completion-6.0.48-r2.apk                 25-Oct-2024 19:10                2461
otrs-dev-6.0.48-r2.apk                             25-Oct-2024 19:10             4058537
otrs-doc-6.0.48-r2.apk                             25-Oct-2024 19:10              814224
otrs-fastcgi-6.0.48-r2.apk                         25-Oct-2024 19:10                1812
otrs-nginx-6.0.48-r2.apk                           25-Oct-2024 19:10                1835
otrs-openrc-6.0.48-r2.apk                          25-Oct-2024 19:10                1940
otrs-setup-6.0.48-r2.apk                           25-Oct-2024 19:10              109918
ouch-0.6.1-r0.apk                                  28-May-2025 07:37             1649881
ouch-bash-completion-0.6.1-r0.apk                  28-May-2025 07:37                2559
ouch-doc-0.6.1-r0.apk                              28-May-2025 07:37                3907
ouch-fish-completion-0.6.1-r0.apk                  28-May-2025 07:37                3107
ouch-zsh-completion-0.6.1-r0.apk                   28-May-2025 07:37                3199
ovhcloud-cli-0.5.0-r0.apk                          30-Sep-2025 09:02             7216438
ovn-24.03.1-r0.apk                                 25-Oct-2024 19:10             7398879
ovn-dbg-24.03.1-r0.apk                             25-Oct-2024 19:10            27020990
ovn-dev-24.03.1-r0.apk                             25-Oct-2024 19:10            10863258
ovn-doc-24.03.1-r0.apk                             25-Oct-2024 19:10              524213
ovn-openrc-24.03.1-r0.apk                          25-Oct-2024 19:10                4248
ovos-0.0.1-r1.apk                                  25-Oct-2024 19:10                1501
ovos-audio-1.0.1-r0.apk                            15-Jul-2025 20:03              139547
ovos-audio-pyc-1.0.1-r0.apk                        15-Jul-2025 20:03               36690
ovos-core-1.3.1-r0.apk                             26-May-2025 12:39               52371
ovos-core-pyc-1.3.1-r0.apk                         26-May-2025 12:39               65170
ovos-dinkum-listener-0.4.1-r0.apk                  15-Jul-2025 20:03              111466
ovos-dinkum-listener-pyc-0.4.1-r0.apk              15-Jul-2025 20:03               58134
ovos-gui-1.3.3-r0.apk                              15-Jul-2025 20:03               38761
ovos-gui-pyc-1.3.3-r0.apk                          15-Jul-2025 20:03               39355
ovos-messagebus-0.0.10-r0.apk                      08-Apr-2025 07:43               10189
ovos-messagebus-pyc-0.0.10-r0.apk                  08-Apr-2025 07:43                6809
ovos-phal-0.2.10-r0.apk                            15-Jul-2025 19:30               10727
ovos-phal-pyc-0.2.10-r0.apk                        15-Jul-2025 19:30                7409
ovos-skill-hello-world-0.0.4_alpha3-r1.apk         25-Oct-2024 19:10               46732
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk     25-Oct-2024 19:10                4062
ovpncc-0.1_rc1-r0.apk                              25-Oct-2024 19:10               12230
ovpncc-doc-0.1_rc1-r0.apk                          25-Oct-2024 19:10                6669
oxygen-icons-6.1.0-r0.apk                          25-Oct-2024 19:10            33134389
p0f-3.09b-r3.apk                                   25-Oct-2024 19:10               78315
p0f-doc-3.09b-r3.apk                               25-Oct-2024 19:10               25873
p910nd-0.97-r2.apk                                 25-Oct-2024 19:10                7887
p910nd-doc-0.97-r2.apk                             25-Oct-2024 19:10                3055
p910nd-openrc-0.97-r2.apk                          25-Oct-2024 19:10                1882
packwiz-0_git20250902-r0.apk                       26-Sep-2025 12:14             4717700
packwiz-doc-0_git20250902-r0.apk                   26-Sep-2025 12:14                2305
pacparser-1.4.5-r1.apk                             25-Oct-2024 19:10              718729
pacparser-dev-1.4.5-r1.apk                         25-Oct-2024 19:10                3686
pacparser-doc-1.4.5-r1.apk                         25-Oct-2024 19:10               18181
paged-markdown-3-pdf-0.1.3-r0.apk                  29-May-2025 10:14              474923
paged-markdown-3-pdf-bash-completion-0.1.3-r0.apk  29-May-2025 10:14                1909
paged-markdown-3-pdf-fish-completion-0.1.3-r0.apk  29-May-2025 10:14                1830
paged-markdown-3-pdf-zsh-completion-0.1.3-r0.apk   29-May-2025 10:14                1904
pam-krb5-4.11-r1.apk                               25-Oct-2024 19:10               23633
pam-krb5-doc-4.11-r1.apk                           25-Oct-2024 19:10               24190
pam-pkcs11-0.6.13-r0.apk                           10-Jun-2025 19:57              274401
pam-pkcs11-doc-0.6.13-r0.apk                       10-Jun-2025 19:57               14551
pam_sqlite3-1.0.2-r2.apk                           25-Oct-2024 19:10                9193
pamtester-0.1.2-r4.apk                             25-Oct-2024 19:10                9151
pamtester-doc-0.1.2-r4.apk                         25-Oct-2024 19:10                2957
pantalaimon-0.10.5-r4.apk                          25-Oct-2024 19:10               45837
pantalaimon-doc-0.10.5-r4.apk                      25-Oct-2024 19:10                6535
pantalaimon-pyc-0.10.5-r4.apk                      25-Oct-2024 19:10               84907
pantalaimon-ui-0.10.5-r4.apk                       25-Oct-2024 19:10                1761
paperde-0.3.0-r2.apk                               21-Aug-2025 07:47              631511
paperde-dev-0.3.0-r2.apk                           21-Aug-2025 07:47                5090
paperkey-1.6-r2.apk                                25-Oct-2024 19:10               16992
paperkey-doc-1.6-r2.apk                            25-Oct-2024 19:10                4619
paprefs-1.2-r2.apk                                 22-Nov-2024 22:11               31512
paprefs-lang-1.2-r2.apk                            22-Nov-2024 22:11               38796
par-1.53.0-r1.apk                                  25-Oct-2024 19:10               14495
par-doc-1.53.0-r1.apk                              25-Oct-2024 19:10               31053
par2cmdline-turbo-1.3.0-r0.apk                     08-May-2025 12:24              237684
par2cmdline-turbo-doc-1.3.0-r0.apk                 08-May-2025 12:24                6048
parcellite-1.2.5-r0.apk                            25-Oct-2024 19:10              230383
parcellite-doc-1.2.5-r0.apk                        25-Oct-2024 19:10               25837
parcellite-lang-1.2.5-r0.apk                       25-Oct-2024 19:10               49948
parse-changelog-0.6.12-r0.apk                      18-May-2025 22:20              520482
pash-2.3.0-r2.apk                                  25-Oct-2024 19:10                4379
pasystray-0.8.2-r0.apk                             25-Oct-2024 19:10               47698
pasystray-doc-0.8.2-r0.apk                         25-Oct-2024 19:10                3413
pathvector-6.3.2-r16.apk                           06-Sep-2025 16:20             3950514
pax-20240817-r0.apk                                23-Sep-2025 05:22               54368
pax-doc-20240817-r0.apk                            23-Sep-2025 05:22               28397
pcl-1.14.1-r0.apk                                  17-Feb-2025 15:08              488760
pcl-dev-1.14.1-r0.apk                              17-Feb-2025 15:08              396175
pcl-libs-1.14.1-r0.apk                             17-Feb-2025 15:08             1307306
pdal-python-plugins-1.6.5-r0.apk                   21-Jun-2025 21:42              234204
pdf2svg-0.2.4-r0.apk                               28-Sep-2025 18:49                4957
pdfcrack-0.20-r0.apk                               25-Oct-2024 19:10               25646
pebble-le-0.3.0-r2.apk                             14-Dec-2024 19:38               65030
pebble-le-dev-0.3.0-r2.apk                         14-Dec-2024 19:38               43294
pebble-le-doc-0.3.0-r2.apk                         14-Dec-2024 19:38                3770
peervpn-0.044-r5.apk                               25-Oct-2024 19:10               41447
peervpn-openrc-0.044-r5.apk                        25-Oct-2024 19:10                1822
peg-0.1.18-r1.apk                                  25-Oct-2024 19:10               37202
peg-doc-0.1.18-r1.apk                              25-Oct-2024 19:10               13989
pegasus-frontend-16_alpha-r0.apk                   25-Oct-2024 19:10             1292735
pegasus-frontend-doc-16_alpha-r0.apk               25-Oct-2024 19:10               16617
percona-toolkit-3.5.4-r1.apk                       25-Oct-2024 19:10             1862145
percona-toolkit-doc-3.5.4-r1.apk                   25-Oct-2024 19:10              304664
perl-adapter-async-0.019-r0.apk                    25-Oct-2024 19:10                8327
perl-adapter-async-doc-0.019-r0.apk                25-Oct-2024 19:10               17172
perl-algorithm-backoff-0.010-r0.apk                25-Oct-2024 19:10                9815
perl-algorithm-backoff-doc-0.010-r0.apk            25-Oct-2024 19:10               30181
perl-algorithm-c3-0.11-r1.apk                      25-Oct-2024 19:10                5794
perl-algorithm-c3-doc-0.11-r1.apk                  25-Oct-2024 19:10                5221
perl-algorithm-cron-0.10-r4.apk                    25-Oct-2024 19:10                6253
perl-algorithm-cron-doc-0.10-r4.apk                25-Oct-2024 19:10                4708
perl-algorithm-evolutionary-0.82.1-r0.apk          25-Oct-2024 19:10               80623
perl-algorithm-evolutionary-doc-0.82.1-r0.apk      25-Oct-2024 19:10              151277
perl-algorithm-permute-0.17-r1.apk                 30-Jun-2025 07:25               12396
perl-algorithm-permute-doc-0.17-r1.apk             30-Jun-2025 07:25                5238
perl-aliased-0.34-r4.apk                           25-Oct-2024 19:10                5793
perl-aliased-doc-0.34-r4.apk                       25-Oct-2024 19:10                5805
perl-alien-base-modulebuild-1.17-r0.apk            08-Jun-2025 16:02               22264
perl-alien-base-modulebuild-doc-1.17-r0.apk        08-Jun-2025 16:02               54704
perl-alien-libgumbo-0.05-r1.apk                    30-Jun-2025 07:25              573115
perl-alien-libgumbo-doc-0.05-r1.apk                30-Jun-2025 07:25                4941
perl-anyevent-dns-etchosts-0.0105-r0.apk           25-Oct-2024 19:10                5231
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk       25-Oct-2024 19:10                4078
perl-anyevent-future-0.05-r0.apk                   25-Oct-2024 19:10                5284
perl-anyevent-future-doc-0.05-r0.apk               25-Oct-2024 19:10                5554
perl-anyevent-riperedis-0.48-r0.apk                25-Oct-2024 19:10               12684
perl-anyevent-riperedis-doc-0.48-r0.apk            25-Oct-2024 19:10               10552
perl-app-a2p-1.013-r0.apk                          23-Jul-2025 04:04               44672
perl-app-a2p-doc-1.013-r0.apk                      23-Jul-2025 04:04                5547
perl-app-find2perl-1.005-r0.apk                    23-Jul-2025 04:04                8740
perl-app-find2perl-doc-1.005-r0.apk                23-Jul-2025 04:04                6030
perl-archive-any-lite-0.11-r0.apk                  12-Jul-2025 06:30                4222
perl-archive-any-lite-doc-0.11-r0.apk              12-Jul-2025 06:30                3782
perl-archive-extract-0.88-r1.apk                   25-Oct-2024 19:10               16128
perl-archive-extract-doc-0.88-r1.apk               25-Oct-2024 19:10                6993
perl-array-diff-0.09-r0.apk                        12-Jul-2025 06:30                3412
perl-array-diff-doc-0.09-r0.apk                    12-Jul-2025 06:30                3975
perl-asa-1.04-r0.apk                               11-Jun-2025 16:34                4424
perl-asa-doc-1.04-r0.apk                           11-Jun-2025 16:34                5112
perl-astro-0.78-r0.apk                             23-Jul-2025 04:04               32734
perl-astro-coords-0.22-r0.apk                      23-Jul-2025 04:04               53847
perl-astro-coords-doc-0.22-r0.apk                  23-Jul-2025 04:04               41311
perl-astro-doc-0.78-r0.apk                         23-Jul-2025 04:04               14156
perl-astro-montenbruck-1.26-r0.apk                 23-Jul-2025 04:04               54713
perl-astro-montenbruck-doc-1.26-r0.apk             23-Jul-2025 04:04               61117
perl-astro-pal-1.09-r0.apk                         23-Jul-2025 04:04              218570
perl-astro-pal-doc-1.09-r0.apk                     23-Jul-2025 04:04                7539
perl-astro-satpass-0.134-r0.apk                    18-Sep-2025 17:21              223344
perl-astro-satpass-doc-0.134-r0.apk                18-Sep-2025 17:21              136065
perl-astro-telescope-0.71-r0.apk                   23-Jul-2025 04:04               49493
perl-astro-telescope-doc-0.71-r0.apk               23-Jul-2025 04:04                5117
perl-autobox-3.0.2-r1.apk                          30-Jun-2025 07:25               19297
perl-autobox-doc-3.0.2-r1.apk                      30-Jun-2025 07:25                9118
perl-b-hooks-op-check-0.22-r1.apk                  30-Jun-2025 07:25                6906
perl-b-hooks-op-check-doc-0.22-r1.apk              30-Jun-2025 07:25                3857
perl-b-utils-0.27-r1.apk                           30-Jun-2025 07:25               19690
perl-b-utils-doc-0.27-r1.apk                       30-Jun-2025 07:25                9739
perl-badger-0.16-r0.apk                            15-Jun-2025 14:50              259180
perl-badger-doc-0.16-r0.apk                        15-Jun-2025 14:50              266297
perl-barcode-zbar-0.10-r4.apk                      30-Jun-2025 07:25               28494
perl-barcode-zbar-doc-0.10-r4.apk                  30-Jun-2025 07:25               12983
perl-bareword-filehandles-0.007-r1.apk             30-Jun-2025 07:25                6227
perl-bareword-filehandles-doc-0.007-r1.apk         30-Jun-2025 07:25                3319
perl-bind-config-parser-0.01-r5.apk                25-Oct-2024 19:10                3966
perl-bind-config-parser-doc-0.01-r5.apk            25-Oct-2024 19:10                3716
perl-bsd-resource-1.2911-r11.apk                   30-Jun-2025 07:25               19013
perl-bsd-resource-doc-1.2911-r11.apk               30-Jun-2025 07:25                8122
perl-bytes-random-secure-0.29-r0.apk               25-Oct-2024 19:10               14662
perl-bytes-random-secure-doc-0.29-r0.apk           25-Oct-2024 19:10               12448
perl-cache-lru-0.04-r0.apk                         25-Oct-2024 19:10                3036
perl-cache-lru-doc-0.04-r0.apk                     25-Oct-2024 19:10                3296
perl-cairo-1.109-r5.apk                            30-Jun-2025 07:25               72591
perl-cairo-doc-1.109-r5.apk                        30-Jun-2025 07:25               14385
perl-cairo-gobject-1.005-r5.apk                    30-Jun-2025 07:25                6799
perl-cairo-gobject-doc-1.005-r5.apk                30-Jun-2025 07:25                3079
perl-carp-assert-more-2.9.0-r0.apk                 13-Apr-2025 20:28                9185
perl-carp-assert-more-doc-2.9.0-r0.apk             13-Apr-2025 20:28                7979
perl-carp-repl-0.18-r0.apk                         03-May-2025 20:03                6095
perl-carp-repl-doc-0.18-r0.apk                     03-May-2025 20:03                6009
perl-catalyst-action-renderview-0.17-r0.apk        26-Mar-2025 11:51                3890
perl-catalyst-action-renderview-doc-0.17-r0.apk    26-Mar-2025 11:51                4140
perl-catalyst-action-rest-1.21-r0.apk              02-Apr-2025 17:03               26007
perl-catalyst-action-rest-doc-1.21-r0.apk          02-Apr-2025 17:03               27721
perl-catalyst-actionrole-acl-0.07-r0.apk           07-May-2025 07:38                4435
perl-catalyst-actionrole-acl-doc-0.07-r0.apk       07-May-2025 07:38                5880
perl-catalyst-authentication-credential-http-1...> 04-Apr-2025 05:38                8815
perl-catalyst-authentication-credential-http-do..> 04-Apr-2025 05:38                6921
perl-catalyst-authentication-store-dbix-class-0..> 11-Jun-2025 16:35               13638
perl-catalyst-authentication-store-dbix-class-d..> 11-Jun-2025 16:35               14199
perl-catalyst-component-instancepercontext-0.00..> 19-Jun-2025 10:55                2785
perl-catalyst-component-instancepercontext-doc-..> 19-Jun-2025 10:55                3368
perl-catalyst-controller-actionrole-0.17-r0.apk    04-Apr-2025 05:40                5106
perl-catalyst-controller-actionrole-doc-0.17-r0..> 04-Apr-2025 05:40                4553
perl-catalyst-devel-1.42-r0.apk                    26-Mar-2025 11:51               55366
perl-catalyst-devel-doc-1.42-r0.apk                26-Mar-2025 11:51               11657
perl-catalyst-manual-5.9013-r0.apk                 04-Apr-2025 05:22                2748
perl-catalyst-manual-doc-5.9013-r0.apk             04-Apr-2025 05:22              384131
perl-catalyst-model-adaptor-0.10-r0.apk            13-Apr-2025 20:28                6641
perl-catalyst-model-adaptor-doc-0.10-r0.apk        13-Apr-2025 20:28               12403
perl-catalyst-model-dbic-schema-0.66-r0.apk        11-Jun-2025 16:35               19582
perl-catalyst-model-dbic-schema-doc-0.66-r0.apk    11-Jun-2025 16:35               18336
perl-catalyst-plugin-authentication-0.10024-r0.apk 02-Apr-2025 17:03               32994
perl-catalyst-plugin-authentication-doc-0.10024..> 02-Apr-2025 17:03               57244
perl-catalyst-plugin-configloader-0.35-r0.apk      26-Mar-2025 11:51                5517
perl-catalyst-plugin-configloader-doc-0.35-r0.apk  26-Mar-2025 11:51               10569
perl-catalyst-plugin-i18n-0.10-r0.apk              04-Apr-2025 09:43                4224
perl-catalyst-plugin-i18n-doc-0.10-r0.apk          04-Apr-2025 09:43               13597
perl-catalyst-plugin-session-0.43-r0.apk           04-Apr-2025 11:56               14777
perl-catalyst-plugin-session-doc-0.43-r0.apk       04-Apr-2025 11:56               25738
perl-catalyst-plugin-session-state-cookie-0.18-..> 20-Apr-2025 04:32                4970
perl-catalyst-plugin-session-state-cookie-doc-0..> 20-Apr-2025 04:32                4963
perl-catalyst-plugin-session-store-dbic-0.14-r0..> 13-Jun-2025 18:17                6024
perl-catalyst-plugin-session-store-dbic-doc-0.1..> 13-Jun-2025 18:17                6588
perl-catalyst-plugin-session-store-delegate-0.0..> 13-Jun-2025 18:17                4584
perl-catalyst-plugin-session-store-delegate-doc..> 13-Jun-2025 18:17                4637
perl-catalyst-plugin-session-store-file-0.18-r0..> 14-Jun-2025 02:46                3733
perl-catalyst-plugin-session-store-file-doc-0.1..> 14-Jun-2025 02:46                4103
perl-catalyst-plugin-stacktrace-0.12-r0.apk        14-Jun-2025 02:46                4783
perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk    14-Jun-2025 02:46                3978
perl-catalyst-plugin-static-simple-0.37-r0.apk     26-Mar-2025 11:51                8901
perl-catalyst-plugin-static-simple-doc-0.37-r0.apk 26-Mar-2025 11:51                7590
perl-catalyst-runtime-5.90132-r0.apk               26-Mar-2025 11:51              153281
perl-catalyst-runtime-doc-5.90132-r0.apk           26-Mar-2025 11:51              221538
perl-catalyst-view-email-0.36-r0.apk               04-Apr-2025 09:43                9296
perl-catalyst-view-email-doc-0.36-r0.apk           04-Apr-2025 09:43               11017
perl-catalyst-view-tt-0.46-r0.apk                  13-Apr-2025 20:28               13942
perl-catalyst-view-tt-doc-0.46-r0.apk              13-Apr-2025 20:28               13001
perl-catalystx-component-traits-0.19-r0.apk        07-May-2025 07:38                4421
perl-catalystx-component-traits-doc-0.19-r0.apk    07-May-2025 07:38                4091
perl-catalystx-injectcomponent-0.025-r0.apk        03-May-2025 20:03                3501
perl-catalystx-injectcomponent-doc-0.025-r0.apk    03-May-2025 20:03                3668
perl-catalystx-leakchecker-0.06-r0.apk             15-Jun-2025 04:46                3456
perl-catalystx-leakchecker-doc-0.06-r0.apk         15-Jun-2025 04:46                3599
perl-catalystx-profile-0.02-r0.apk                 15-Jun-2025 04:46                3150
perl-catalystx-profile-doc-0.02-r0.apk             15-Jun-2025 04:46                4650
perl-catalystx-repl-0.04-r0.apk                    03-May-2025 20:03                3382
perl-catalystx-repl-doc-0.04-r0.apk                03-May-2025 20:03                3709
perl-catalystx-simplelogin-0.21-r0.apk             07-May-2025 07:38               10938
perl-catalystx-simplelogin-doc-0.21-r0.apk         07-May-2025 07:38               24767
perl-cgi-expand-2.05-r4.apk                        25-Oct-2024 19:10                7036
perl-cgi-expand-doc-2.05-r4.apk                    25-Oct-2024 19:10                6310
perl-cgi-simple-1.282-r0.apk                       30-Aug-2025 04:17               56964
perl-cgi-simple-doc-1.282-r0.apk                   30-Aug-2025 04:17               43758
perl-cgi-struct-1.21-r0.apk                        26-Mar-2025 11:51                8022
perl-cgi-struct-doc-1.21-r0.apk                    26-Mar-2025 11:51                6941
perl-check-unitcheck-0.13-r2.apk                   30-Jun-2025 07:25                6338
perl-check-unitcheck-doc-0.13-r2.apk               30-Jun-2025 07:25                3698
perl-class-accessor-grouped-0.10014-r2.apk         25-Oct-2024 19:10               12307
perl-class-accessor-grouped-doc-0.10014-r2.apk     25-Oct-2024 19:10                7658
perl-class-c3-0.35-r1.apk                          25-Oct-2024 19:10                9684
perl-class-c3-adopt-next-0.14-r0.apk               26-Mar-2025 11:51                5203
perl-class-c3-adopt-next-doc-0.14-r0.apk           26-Mar-2025 11:51                4787
perl-class-c3-componentised-1.001002-r2.apk        25-Oct-2024 19:10                5659
perl-class-c3-componentised-doc-1.001002-r2.apk    25-Oct-2024 19:10                5466
perl-class-c3-doc-0.35-r1.apk                      25-Oct-2024 19:10                9501
perl-class-inner-0.200001-r5.apk                   25-Oct-2024 19:10                4044
perl-class-inner-doc-0.200001-r5.apk               25-Oct-2024 19:10                4211
perl-class-unload-0.11-r0.apk                      04-Jun-2025 18:18                2651
perl-class-unload-doc-0.11-r0.apk                  04-Jun-2025 18:18                3140
perl-cli-osprey-0.08-r0.apk                        28-Dec-2024 04:54               13051
perl-cli-osprey-doc-0.08-r0.apk                    28-Dec-2024 04:54               12341
perl-clipboard-0.32-r0.apk                         18-May-2025 15:45               10704
perl-clipboard-doc-0.32-r0.apk                     18-May-2025 15:45               27448
perl-color-ansi-util-0.165-r0.apk                  25-Oct-2024 19:10                7460
perl-color-ansi-util-doc-0.165-r0.apk              25-Oct-2024 19:10                5285
perl-color-rgb-util-0.609-r0.apk                   26-Mar-2025 11:51                9684
perl-color-rgb-util-doc-0.609-r0.apk               26-Mar-2025 11:51                7539
perl-conf-libconfig-1.0.3-r2.apk                   30-Jun-2025 07:25               23167
perl-conf-libconfig-doc-1.0.3-r2.apk               30-Jun-2025 07:25                5635
perl-constant-defer-6-r5.apk                       25-Oct-2024 19:10                7583
perl-constant-defer-doc-6-r5.apk                   25-Oct-2024 19:10                7116
perl-constant-generate-0.17-r5.apk                 25-Oct-2024 19:10                8966
perl-constant-generate-doc-0.17-r5.apk             25-Oct-2024 19:10                7217
perl-context-preserve-0.03-r4.apk                  25-Oct-2024 19:10                3958
perl-context-preserve-doc-0.03-r4.apk              25-Oct-2024 19:10                4318
perl-cpan-audit-20250829.001-r0.apk                06-Sep-2025 16:20               13839
perl-cpan-audit-doc-20250829.001-r0.apk            06-Sep-2025 16:20               12654
perl-cpan-changes-0.500005-r0.apk                  16-Aug-2025 14:22               13728
perl-cpan-changes-doc-0.500005-r0.apk              16-Aug-2025 14:22               18784
perl-cpansa-db-20250807.001-r0.apk                 01-Sep-2025 13:16             1350737
perl-cpansa-db-doc-20250807.001-r0.apk             01-Sep-2025 13:16                5705
perl-crypt-blowfish-2.14-r1.apk                    30-Jun-2025 07:25               13128
perl-crypt-blowfish-doc-2.14-r1.apk                30-Jun-2025 07:25                4169
perl-crypt-random-seed-0.03-r0.apk                 25-Oct-2024 19:10               11506
perl-crypt-random-seed-doc-0.03-r0.apk             25-Oct-2024 19:10                9019
perl-crypt-saltedhash-0.09-r5.apk                  25-Oct-2024 19:10                7117
perl-crypt-saltedhash-doc-0.09-r5.apk              25-Oct-2024 19:10                6515
perl-css-inliner-4027-r0.apk                       16-Jun-2025 11:50               16205
perl-css-inliner-doc-4027-r0.apk                   16-Jun-2025 11:50                9669
perl-css-object-0.2.0-r0.apk                       25-Oct-2024 19:10               23303
perl-css-object-doc-0.2.0-r0.apk                   25-Oct-2024 19:10               33339
perl-daemon-control-0.001010-r2.apk                25-Oct-2024 19:10               12678
perl-daemon-control-doc-0.001010-r2.apk            25-Oct-2024 19:10                8454
perl-dancer-plugin-auth-extensible-1.00-r5.apk     25-Oct-2024 19:10               15676
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk 25-Oct-2024 19:10               15170
perl-dancer-plugin-dbic-0.2104-r5.apk              25-Oct-2024 19:10                5041
perl-dancer-plugin-dbic-doc-0.2104-r5.apk          25-Oct-2024 19:10                5481
perl-dancer-plugin-passphrase-2.0.1-r4.apk         25-Oct-2024 19:10                9934
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk     25-Oct-2024 19:10                8662
perl-dancer-session-cookie-0.30-r2.apk             25-Oct-2024 19:10                5594
perl-dancer-session-cookie-doc-0.30-r2.apk         25-Oct-2024 19:10                4287
perl-dancer2-1.1.2-r0.apk                          28-Dec-2024 04:54              166950
perl-dancer2-doc-1.1.2-r0.apk                      28-Dec-2024 04:54              307993
perl-data-binary-0.01-r0.apk                       12-Jul-2025 06:30                2833
perl-data-binary-doc-0.01-r0.apk                   12-Jul-2025 06:30                3159
perl-data-checks-0.10-r1.apk                       30-Jun-2025 07:25               22968
perl-data-checks-doc-0.10-r1.apk                   30-Jun-2025 07:25                8462
perl-data-clone-0.006-r1.apk                       30-Jun-2025 07:25               10145
perl-data-clone-doc-0.006-r1.apk                   30-Jun-2025 07:25                4645
perl-data-dump-streamer-2.42-r1.apk                30-Jun-2025 07:25               51228
perl-data-dump-streamer-doc-2.42-r1.apk            30-Jun-2025 07:25               17675
perl-data-section-0.200008-r0.apk                  07-Jul-2025 17:02                6572
perl-data-section-doc-0.200008-r0.apk              07-Jul-2025 17:02                5755
perl-data-validate-domain-0.15-r0.apk              25-Oct-2024 19:10                5983
perl-data-validate-domain-doc-0.15-r0.apk          25-Oct-2024 19:10                5602
perl-data-validate-ip-0.31-r1.apk                  25-Oct-2024 19:10                9035
perl-data-validate-ip-doc-0.31-r1.apk              25-Oct-2024 19:10                5997
perl-data-visitor-0.32-r0.apk                      26-Mar-2025 11:51               10008
perl-data-visitor-doc-0.32-r0.apk                  26-Mar-2025 11:51                8512
perl-database-async-0.019-r0.apk                   25-Oct-2024 19:10               23359
perl-database-async-doc-0.019-r0.apk               25-Oct-2024 19:10               29768
perl-database-async-engine-postgresql-1.005-r0.apk 25-Oct-2024 19:10               14279
perl-database-async-engine-postgresql-doc-1.005..> 25-Oct-2024 19:10                9540
perl-date-range-1.41-r0.apk                        08-Jul-2025 16:53                3842
perl-date-range-doc-1.41-r0.apk                    08-Jul-2025 16:53                4142
perl-datetime-astro-1.04-r0.apk                    23-Jul-2025 04:04               35722
perl-datetime-astro-doc-1.04-r0.apk                23-Jul-2025 04:04                6162
perl-datetime-format-atom-1.8.0-r0.apk             05-Jan-2025 15:14                3229
perl-datetime-format-atom-doc-1.8.0-r0.apk         05-Jan-2025 15:14                3861
perl-datetime-format-flexible-0.37-r0.apk          31-Dec-2024 13:27               18481
perl-datetime-format-flexible-doc-0.37-r0.apk      31-Dec-2024 13:27               12411
perl-datetime-format-rfc3339-1.10.0-r0.apk         05-Jan-2025 15:14                4495
perl-datetime-format-rfc3339-doc-1.10.0-r0.apk     05-Jan-2025 15:14                4236
perl-datetime-set-0.3900-r0.apk                    23-Jul-2025 04:04               20397
perl-datetime-set-doc-0.3900-r0.apk                23-Jul-2025 04:04               18282
perl-datetime-timezone-alias-0.06-r0.apk           25-Oct-2024 19:10                2595
perl-datetime-timezone-alias-doc-0.06-r0.apk       25-Oct-2024 19:10                7809
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk 25-Oct-2024 19:10               12092
perl-datetime-timezone-catalog-extend-doc-0.3.3..> 25-Oct-2024 19:10               15394
perl-dbicx-sugar-0.0200-r5.apk                     25-Oct-2024 19:10                6032
perl-dbicx-sugar-doc-0.0200-r5.apk                 25-Oct-2024 19:10                5461
perl-dbix-class-0.082844-r0.apk                    16-Jan-2025 17:26              364007
perl-dbix-class-candy-0.005004-r0.apk              30-Oct-2024 05:59                8060
perl-dbix-class-candy-doc-0.005004-r0.apk          30-Oct-2024 05:59                9712
perl-dbix-class-cursor-cached-1.001004-r0.apk      09-Jun-2025 16:31                3292
perl-dbix-class-cursor-cached-doc-1.001004-r0.apk  09-Jun-2025 16:31                3121
perl-dbix-class-doc-0.082844-r0.apk                16-Jan-2025 17:26              430595
perl-dbix-class-helpers-2.037000-r0.apk            20-Nov-2024 00:45               48860
perl-dbix-class-helpers-doc-2.037000-r0.apk        20-Nov-2024 00:45              124276
perl-dbix-class-schema-loader-0.07053-r0.apk       09-Jun-2025 16:31               99712
perl-dbix-class-schema-loader-doc-0.07053-r0.apk   09-Jun-2025 16:31               79184
perl-dbix-connector-0.60-r0.apk                    30-Dec-2024 06:37               15098
perl-dbix-connector-doc-0.60-r0.apk                30-Dec-2024 06:37               22836
perl-dbix-datasource-0.02-r5.apk                   25-Oct-2024 19:10                4400
perl-dbix-datasource-doc-0.02-r5.apk               25-Oct-2024 19:10                7717
perl-dbix-introspector-0.001005-r4.apk             25-Oct-2024 19:10                8244
perl-dbix-introspector-doc-0.001005-r4.apk         25-Oct-2024 19:10                8660
perl-dbix-lite-0.36-r0.apk                         30-Dec-2024 06:37               18447
perl-dbix-lite-doc-0.36-r0.apk                     30-Dec-2024 06:37               17937
perl-devel-confess-0.009004-r0.apk                 25-Oct-2024 19:10               11698
perl-devel-confess-doc-0.009004-r0.apk             25-Oct-2024 19:10                6813
perl-devel-findperl-0.016-r0.apk                   08-Jun-2025 16:02                4837
perl-devel-findperl-doc-0.016-r0.apk               08-Jun-2025 16:02                3927
perl-devel-leak-0.03-r14.apk                       30-Jun-2025 07:25                7291
perl-devel-leak-doc-0.03-r14.apk                   30-Jun-2025 07:25                3430
perl-devel-nytprof-6.14-r1.apk                     30-Jun-2025 07:25              400322
perl-devel-nytprof-doc-6.14-r1.apk                 30-Jun-2025 07:25               51745
perl-devel-refcount-0.10-r2.apk                    30-Jun-2025 07:25                6458
perl-devel-refcount-doc-0.10-r2.apk                30-Jun-2025 07:25                4406
perl-devel-repl-1.003029-r0.apk                    03-May-2025 20:03               29027
perl-devel-repl-doc-1.003029-r0.apk                03-May-2025 20:03               61590
perl-devel-stacktrace-withlexicals-2.01-r0.apk     03-May-2025 20:03                3745
perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk 03-May-2025 20:03                3505
perl-devel-trace-0.12-r0.apk                       06-Jul-2025 19:08                3440
perl-devel-trace-doc-0.12-r0.apk                   06-Jul-2025 19:08                3556
perl-digest-bcrypt-1.212-r1.apk                    25-Oct-2024 19:10                5711
perl-digest-bcrypt-doc-1.212-r1.apk                25-Oct-2024 19:10                5302
perl-digest-crc-0.24-r2.apk                        30-Jun-2025 07:25                9785
perl-digest-crc-doc-0.24-r2.apk                    30-Jun-2025 07:25                3365
perl-dns-unbound-0.29-r2.apk                       30-Jun-2025 07:25               23667
perl-dns-unbound-anyevent-0.29-r2.apk              30-Jun-2025 07:25                2397
perl-dns-unbound-asyncquery-promisexs-0.29-r2.apk  30-Jun-2025 07:25                1990
perl-dns-unbound-doc-0.29-r2.apk                   30-Jun-2025 07:25               15769
perl-dns-unbound-ioasync-0.29-r2.apk               30-Jun-2025 07:25                2476
perl-dns-unbound-mojo-0.29-r2.apk                  30-Jun-2025 07:25                2715
perl-email-abstract-3.010-r0.apk                   25-Oct-2024 19:10                7833
perl-email-abstract-doc-3.010-r0.apk               25-Oct-2024 19:10               13204
perl-email-mime-attachment-stripper-1.317-r5.apk   25-Oct-2024 19:10                4064
perl-email-mime-attachment-stripper-doc-1.317-r..> 25-Oct-2024 19:10                3904
perl-email-reply-1.204-r5.apk                      25-Oct-2024 19:10                6289
perl-email-reply-doc-1.204-r5.apk                  25-Oct-2024 19:10                4917
perl-email-sender-2.601-r0.apk                     04-Apr-2025 09:43               25259
perl-email-sender-doc-2.601-r0.apk                 04-Apr-2025 09:43               43106
perl-encode-detect-1.01-r1.apk                     30-Jun-2025 07:25               73787
perl-encode-detect-doc-1.01-r1.apk                 30-Jun-2025 07:25                4959
perl-ev-hiredis-0.07-r3.apk                        30-Jun-2025 07:25               12790
perl-ev-hiredis-doc-0.07-r3.apk                    30-Jun-2025 07:25                4339
perl-expect-1.38-r0.apk                            19-Apr-2025 19:02               33226
perl-expect-doc-1.38-r0.apk                        19-Apr-2025 19:02               20781
perl-expect-simple-0.04-r0.apk                     20-Apr-2025 04:38                5624
perl-expect-simple-doc-0.04-r0.apk                 20-Apr-2025 04:38                5001
perl-extutils-makemaker-7.76-r0.apk                16-Aug-2025 14:22              178394
perl-extutils-xsbuilder-0.28-r5.apk                25-Oct-2024 19:10               44095
perl-extutils-xsbuilder-doc-0.28-r5.apk            25-Oct-2024 19:10               21644
perl-feed-find-0.13-r0.apk                         25-Oct-2024 19:10                3949
perl-feed-find-doc-0.13-r0.apk                     25-Oct-2024 19:10                3829
perl-ffi-c-0.15-r0.apk                             25-Oct-2024 19:10               20350
perl-ffi-c-doc-0.15-r0.apk                         25-Oct-2024 19:10               29284
perl-ffi-platypus-2.10-r1.apk                      30-Jun-2025 07:25              179628
perl-ffi-platypus-doc-2.10-r1.apk                  30-Jun-2025 07:25              151519
perl-ffi-platypus-type-enum-0.06-r0.apk            25-Oct-2024 19:10                5331
perl-ffi-platypus-type-enum-doc-0.06-r0.apk        25-Oct-2024 19:10                5284
perl-file-changenotify-0.31-r0.apk                 26-Mar-2025 11:51               12395
perl-file-changenotify-doc-0.31-r0.apk             26-Mar-2025 11:51               14342
perl-file-find-object-0.3.9-r0.apk                 12-Jul-2025 06:30                9378
perl-file-find-object-doc-0.3.9-r0.apk             12-Jul-2025 06:30               13522
perl-file-mmagic-xs-0.09008-r5.apk                 30-Jun-2025 07:25               30072
perl-file-mmagic-xs-doc-0.09008-r5.apk             30-Jun-2025 07:25                4360
perl-file-rename-2.02-r0.apk                       25-Oct-2024 19:10                7720
perl-file-rename-doc-2.02-r0.apk                   25-Oct-2024 19:10               12373
perl-file-treecreate-0.0.1-r0.apk                  12-Jul-2025 06:30                4115
perl-file-treecreate-doc-0.0.1-r0.apk              12-Jul-2025 06:30                4505
perl-finance-quote-1.66-r0.apk                     12-Jul-2025 13:43              108352
perl-finance-quote-doc-1.66-r0.apk                 12-Jul-2025 13:43               89734
perl-flowd-0.9.1-r11.apk                           30-Jun-2025 07:25               21608
perl-flowd-doc-0.9.1-r11.apk                       30-Jun-2025 07:25                3330
perl-freezethaw-0.5001-r2.apk                      25-Oct-2024 19:10               10078
perl-freezethaw-doc-0.5001-r2.apk                  25-Oct-2024 19:10                5801
perl-full-1.004-r0.apk                             25-Oct-2024 19:10                7287
perl-full-doc-1.004-r0.apk                         25-Oct-2024 19:10               10544
perl-future-asyncawait-hooks-0.02-r1.apk           30-Jun-2025 07:25                8427
perl-future-asyncawait-hooks-doc-0.02-r1.apk       30-Jun-2025 07:25                3422
perl-future-http-0.17-r0.apk                       25-Oct-2024 19:10                9456
perl-future-http-doc-0.17-r0.apk                   25-Oct-2024 19:10               16056
perl-future-q-0.120-r0.apk                         25-Oct-2024 19:10                9837
perl-future-q-doc-0.120-r0.apk                     25-Oct-2024 19:10                9335
perl-future-queue-0.52-r0.apk                      25-Oct-2024 19:10                4187
perl-future-queue-doc-0.52-r0.apk                  25-Oct-2024 19:10                4372
perl-gearman-2.004.015-r3.apk                      25-Oct-2024 19:10               28124
perl-gearman-doc-2.004.015-r3.apk                  25-Oct-2024 19:10               20255
perl-getopt-long-descriptive-0.116-r0.apk          31-Dec-2024 09:39               14987
perl-getopt-long-descriptive-doc-0.116-r0.apk      31-Dec-2024 09:39               11254
perl-getopt-tabular-0.3-r4.apk                     25-Oct-2024 19:10               23800
perl-getopt-tabular-doc-0.3-r4.apk                 25-Oct-2024 19:10               17146
perl-git-raw-0.90-r4.apk                           30-Jun-2025 07:25              165095
perl-git-raw-doc-0.90-r4.apk                       30-Jun-2025 07:25              122139
perl-git-repository-1.325-r0.apk                   25-Oct-2024 19:10               16732
perl-git-repository-doc-1.325-r0.apk               25-Oct-2024 19:10               32257
perl-git-version-compare-1.005-r0.apk              25-Oct-2024 19:10                5537
perl-git-version-compare-doc-1.005-r0.apk          25-Oct-2024 19:10                4982
perl-glib-ex-objectbits-17-r0.apk                  25-Oct-2024 19:10               15407
perl-glib-ex-objectbits-doc-17-r0.apk              25-Oct-2024 19:10               22925
perl-glib-object-introspection-0.052-r0.apk        25-Sep-2025 22:00               60018
perl-glib-object-introspection-doc-0.052-r0.apk    25-Sep-2025 22:00               11431
perl-graphql-client-0.605-r0.apk                   25-Oct-2024 19:10                7318
perl-graphql-client-cli-0.605-r0.apk               25-Oct-2024 19:10                8009
perl-graphql-client-doc-0.605-r0.apk               25-Oct-2024 19:10               14317
perl-gtk2-1.24993-r7.apk                           30-Jun-2025 07:25              834039
perl-gtk2-doc-1.24993-r7.apk                       30-Jun-2025 07:25              694861
perl-gtk2-ex-listmodelconcat-11-r4.apk             25-Oct-2024 19:10               13065
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk         25-Oct-2024 19:10                7470
perl-gtk2-ex-widgetbits-48-r3.apk                  25-Oct-2024 19:10               67293
perl-gtk2-ex-widgetbits-doc-48-r3.apk              25-Oct-2024 19:10               83174
perl-gtk3-0.038-r1.apk                             25-Oct-2024 19:10               20114
perl-gtk3-doc-0.038-r1.apk                         25-Oct-2024 19:10                9376
perl-guard-1.023-r10.apk                           30-Jun-2025 07:25                8921
perl-guard-doc-1.023-r10.apk                       30-Jun-2025 07:25                5469
perl-hash-merge-extra-0.06-r0.apk                  20-Jun-2025 15:18                3210
perl-hash-merge-extra-doc-0.06-r0.apk              20-Jun-2025 15:18                3447
perl-hash-ordered-0.014-r0.apk                     25-Oct-2024 19:10               10030
perl-hash-ordered-doc-0.014-r0.apk                 25-Oct-2024 19:10               19701
perl-html-formatexternal-26-r0.apk                 07-Jun-2025 14:55               17482
perl-html-formatexternal-doc-26-r0.apk             07-Jun-2025 14:55               23558
perl-html-formhandler-0.40068-r0.apk               03-May-2025 20:03              138719
perl-html-formhandler-doc-0.40068-r0.apk           03-May-2025 20:03              330984
perl-html-gumbo-0.18-r2.apk                        30-Jun-2025 07:25               14649
perl-html-gumbo-doc-0.18-r2.apk                    30-Jun-2025 07:25                5555
perl-html-object-0.5.1-r0.apk                      25-Oct-2024 19:10              356701
perl-html-object-doc-0.5.1-r0.apk                  25-Oct-2024 19:10              483181
perl-html-query-0.09-r0.apk                        16-Jun-2025 11:50               14144
perl-html-query-doc-0.09-r0.apk                    16-Jun-2025 11:50               10342
perl-html-selector-xpath-0.28-r0.apk               25-Oct-2024 19:10                6363
perl-html-selector-xpath-doc-0.28-r0.apk           25-Oct-2024 19:10                4170
perl-html-tableextract-2.15-r4.apk                 25-Oct-2024 19:10               18102
perl-html-tableextract-doc-2.15-r4.apk             25-Oct-2024 19:10               10158
perl-html-treebuilder-xpath-0.14-r0.apk            12-Jul-2025 06:30                7993
perl-html-treebuilder-xpath-doc-0.14-r0.apk        12-Jul-2025 06:30                4313
perl-http-headers-actionpack-0.09-r0.apk           13-Jun-2025 18:17               18435
perl-http-headers-actionpack-doc-0.09-r0.apk       13-Jun-2025 18:17               41272
perl-http-thin-0.006-r0.apk                        25-Oct-2024 19:10                3159
perl-http-thin-doc-0.006-r0.apk                    25-Oct-2024 19:10                3532
perl-http-xsheaders-0.400005-r2.apk                30-Jun-2025 07:25               20687
perl-http-xsheaders-doc-0.400005-r2.apk            30-Jun-2025 07:25                6517
perl-i18n-langinfo-wide-9-r4.apk                   25-Oct-2024 19:10                4316
perl-i18n-langinfo-wide-doc-9-r4.apk               25-Oct-2024 19:10                4185
perl-imager-1.028-r1.apk                           30-Jun-2025 07:25              533918
perl-imager-doc-1.028-r1.apk                       30-Jun-2025 07:25              293302
perl-indirect-0.39-r2.apk                          30-Jun-2025 07:25               16158
perl-indirect-doc-0.39-r2.apk                      30-Jun-2025 07:25                6561
perl-io-handle-util-0.02-r0.apk                    11-Jun-2025 16:34               10880
perl-io-handle-util-doc-0.02-r0.apk                11-Jun-2025 16:34               10057
perl-io-interactive-1.027-r0.apk                   01-Sep-2025 13:16                5442
perl-io-interactive-doc-1.027-r0.apk               01-Sep-2025 13:16                5323
perl-io-lambda-1.34-r0.apk                         25-Oct-2024 19:10               77268
perl-io-lambda-doc-1.34-r0.apk                     25-Oct-2024 19:10               69575
perl-io-sessiondata-1.03-r3.apk                    25-Oct-2024 19:10                5894
perl-json-maybeutf8-2.000-r0.apk                   25-Oct-2024 19:10                3201
perl-json-maybeutf8-doc-2.000-r0.apk               25-Oct-2024 19:10                3643
perl-json-validator-5.15-r0.apk                    26-Mar-2025 11:51               59551
perl-json-validator-doc-5.15-r0.apk                26-Mar-2025 11:51               34629
perl-lexical-persistence-1.023-r0.apk              03-May-2025 20:03                7692
perl-lexical-persistence-doc-1.023-r0.apk          03-May-2025 20:03                7752
perl-lib-abs-0.95-r0.apk                           25-Oct-2024 19:10                3951
perl-lib-abs-doc-0.95-r0.apk                       25-Oct-2024 19:10                4048
perl-libapreq2-2.17-r3.apk                         30-Jun-2025 07:25              111999
perl-libapreq2-dev-2.17-r3.apk                     30-Jun-2025 07:25               58529
perl-libapreq2-doc-2.17-r3.apk                     30-Jun-2025 07:25               38168
perl-libintl-perl-1.35-r0.apk                      16-Jan-2025 13:35              312242
perl-libintl-perl-doc-1.35-r0.apk                  16-Jan-2025 13:35              585130
perl-lingua-en-findnumber-1.32-r0.apk              08-Jun-2025 16:02                3332
perl-lingua-en-findnumber-doc-1.32-r0.apk          08-Jun-2025 16:02                3564
perl-lingua-en-inflect-number-1.12-r0.apk          08-Jun-2025 16:02                3278
perl-lingua-en-inflect-number-doc-1.12-r0.apk      08-Jun-2025 16:02                3642
perl-lingua-en-inflect-phrase-0.20-r0.apk          09-Jun-2025 12:06                5443
perl-lingua-en-inflect-phrase-doc-0.20-r0.apk      09-Jun-2025 12:06                3994
perl-lingua-en-number-isordinal-0.05-r0.apk        08-Jun-2025 16:02                3130
perl-lingua-en-number-isordinal-doc-0.05-r0.apk    08-Jun-2025 16:02                3529
perl-lingua-en-tagger-0.31-r0.apk                  08-Jun-2025 16:02              558868
perl-lingua-en-tagger-doc-0.31-r0.apk              08-Jun-2025 16:02                4562
perl-lingua-en-words2nums-0.18-r0.apk              07-Jun-2025 14:55                4709
perl-lingua-en-words2nums-doc-0.18-r0.apk          07-Jun-2025 14:55                3601
perl-lingua-pt-stemmer-0.02-r0.apk                 07-Jun-2025 02:00                5553
perl-lingua-pt-stemmer-doc-0.02-r0.apk             07-Jun-2025 02:00                4380
perl-lingua-stem-2.31-r0.apk                       08-Jun-2025 16:02               12673
perl-lingua-stem-doc-2.31-r0.apk                   08-Jun-2025 16:02               34390
perl-lingua-stem-fr-0.02-r0.apk                    06-Jun-2025 14:57                6276
perl-lingua-stem-fr-doc-0.02-r0.apk                06-Jun-2025 14:57                4025
perl-lingua-stem-it-0.02-r0.apk                    06-Jun-2025 14:57                5343
perl-lingua-stem-it-doc-0.02-r0.apk                06-Jun-2025 14:57                3627
perl-lingua-stem-ru-0.04-r0.apk                    06-Jun-2025 14:57                4218
perl-lingua-stem-ru-doc-0.04-r0.apk                06-Jun-2025 14:57                3834
perl-lingua-stem-snowball-da-1.01-r0.apk           08-Jun-2025 16:02                4431
perl-lingua-stem-snowball-da-doc-1.01-r0.apk       08-Jun-2025 16:02                3104
perl-linux-pid-0.04-r15.apk                        30-Jun-2025 07:25                5026
perl-linux-pid-doc-0.04-r15.apk                    30-Jun-2025 07:25                3143
perl-list-binarysearch-0.25-r0.apk                 25-Oct-2024 19:10               10195
perl-list-binarysearch-doc-0.25-r0.apk             25-Oct-2024 19:10               11820
perl-list-binarysearch-xs-0.09-r2.apk              30-Jun-2025 07:25               12590
perl-list-binarysearch-xs-doc-0.09-r2.apk          30-Jun-2025 07:25                8331
perl-list-keywords-0.11-r1.apk                     30-Jun-2025 07:25               15128
perl-list-keywords-doc-0.11-r1.apk                 30-Jun-2025 07:25                5709
perl-log-fu-0.31-r4.apk                            25-Oct-2024 19:10               10759
perl-log-fu-doc-0.31-r4.apk                        25-Oct-2024 19:10                7434
perl-log-message-0.08-r3.apk                       25-Oct-2024 19:10               10862
perl-log-message-doc-0.08-r3.apk                   25-Oct-2024 19:10               12437
perl-log-message-simple-0.10-r3.apk                25-Oct-2024 19:10                4318
perl-log-message-simple-doc-0.10-r3.apk            25-Oct-2024 19:10                4045
perl-lwp-useragent-cached-0.08-r1.apk              25-Oct-2024 19:10                6541
perl-lwp-useragent-cached-doc-0.08-r1.apk          25-Oct-2024 19:10                5791
perl-mastodon-client-0.017-r0.apk                  25-Oct-2024 19:10               22592
perl-mastodon-client-doc-0.017-r0.apk              25-Oct-2024 19:10               33771
perl-math-int64-0.57-r2.apk                        30-Jun-2025 07:25               27545
perl-math-int64-doc-0.57-r2.apk                    30-Jun-2025 07:25               10787
perl-math-libm-1.00-r15.apk                        30-Jun-2025 07:25               10182
perl-math-libm-doc-1.00-r15.apk                    30-Jun-2025 07:25                3282
perl-math-random-0.75-r0.apk                       01-Oct-2025 04:55               35629
perl-math-random-doc-0.75-r0.apk                   01-Oct-2025 04:55               11585
perl-math-random-isaac-xs-1.004-r9.apk             30-Jun-2025 07:25                7832
perl-math-random-isaac-xs-doc-1.004-r9.apk         30-Jun-2025 07:25                3926
perl-mce-1.902-r0.apk                              10-Sep-2025 03:59              137687
perl-mce-doc-1.902-r0.apk                          10-Sep-2025 03:59              175342
perl-memoize-expirelru-0.56-r0.apk                 08-Jun-2025 16:02                6371
perl-memoize-expirelru-doc-0.56-r0.apk             08-Jun-2025 16:02                3936
perl-minion-11.0-r0.apk                            27-Aug-2025 09:07             1575490
perl-minion-backend-pg-11.0-r0.apk                 27-Aug-2025 09:07                9800
perl-minion-backend-redis-0.003-r0.apk             25-Oct-2024 19:10               10760
perl-minion-backend-redis-doc-0.003-r0.apk         25-Oct-2024 19:10                6738
perl-minion-backend-sqlite-5.0.7-r0.apk            25-Oct-2024 19:10               10365
perl-minion-backend-sqlite-doc-5.0.7-r0.apk        25-Oct-2024 19:10                6939
perl-minion-doc-11.0-r0.apk                        27-Aug-2025 09:07               51833
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk 25-Oct-2024 19:10                3519
perl-module-build-prereqs-fromcpanfile-doc-0.02..> 25-Oct-2024 19:10                3863
perl-module-cpants-analyse-1.02-r0.apk             12-Jul-2025 13:43               27156
perl-module-cpants-analyse-doc-1.02-r0.apk         12-Jul-2025 13:43               28973
perl-module-extract-version-1.119-r0.apk           06-Sep-2025 16:20                3329
perl-module-extract-version-doc-1.119-r0.apk       06-Sep-2025 16:20                3543
perl-module-generic-1.1.0-r0.apk                   30-Sep-2025 18:25              324137
perl-module-generic-doc-1.1.0-r0.apk               30-Sep-2025 18:25              265376
perl-module-path-0.19-r0.apk                       08-Jun-2025 16:02                4827
perl-module-path-doc-0.19-r0.apk                   08-Jun-2025 16:02                5938
perl-mojo-reactor-ioasync-1.002-r0.apk             25-Oct-2024 19:10                4848
perl-mojo-reactor-ioasync-doc-1.002-r0.apk         25-Oct-2024 19:10                4487
perl-mojo-redis-3.29-r0.apk                        25-Oct-2024 19:10               25784
perl-mojo-redis-doc-3.29-r0.apk                    25-Oct-2024 19:10               25203
perl-mojo-sqlite-3.009-r0.apk                      25-Oct-2024 19:10               16339
perl-mojo-sqlite-doc-3.009-r0.apk                  25-Oct-2024 19:10               19824
perl-mojolicious-plugin-openapi-5.11-r0.apk        26-Mar-2025 11:51               29047
perl-mojolicious-plugin-openapi-doc-5.11-r0.apk    26-Mar-2025 11:51               34266
perl-moosex-configfromfile-0.14-r0.apk             26-Mar-2025 11:51                4187
perl-moosex-configfromfile-doc-0.14-r0.apk         26-Mar-2025 11:51                4250
perl-moosex-emulate-class-accessor-fast-0.00903..> 26-Mar-2025 11:51                5564
perl-moosex-emulate-class-accessor-fast-doc-0.0..> 26-Mar-2025 11:51                5470
perl-moosex-getopt-0.78-r0.apk                     26-Mar-2025 11:51               13947
perl-moosex-getopt-doc-0.78-r0.apk                 26-Mar-2025 11:51               25311
perl-moosex-markasmethods-0.15-r0.apk              04-Jun-2025 18:18                5229
perl-moosex-markasmethods-doc-0.15-r0.apk          04-Jun-2025 18:18                5328
perl-moosex-methodattributes-0.32-r0.apk           26-Mar-2025 11:51                8761
perl-moosex-methodattributes-doc-0.32-r0.apk       26-Mar-2025 11:51               22582
perl-moosex-nonmoose-0.27-r1.apk                   19-Jun-2025 10:55                8385
perl-moosex-nonmoose-doc-0.27-r1.apk               19-Jun-2025 10:55                9672
perl-moosex-object-pluggable-0.0014-r0.apk         03-May-2025 20:03                6125
perl-moosex-object-pluggable-doc-0.0014-r0.apk     03-May-2025 20:03                5631
perl-moosex-relatedclassroles-0.004-r0.apk         03-May-2025 20:03                2863
perl-moosex-relatedclassroles-doc-0.004-r0.apk     03-May-2025 20:03                3422
perl-moosex-role-parameterized-1.11-r0.apk         26-Mar-2025 11:51                7912
perl-moosex-role-parameterized-doc-1.11-r0.apk     26-Mar-2025 11:51               24065
perl-moosex-simpleconfig-0.11-r0.apk               26-Mar-2025 11:51                3884
perl-moosex-simpleconfig-doc-0.11-r0.apk           26-Mar-2025 11:51                4258
perl-moosex-traits-pluggable-0.12-r0.apk           03-May-2025 20:03                5062
perl-moosex-traits-pluggable-doc-0.12-r0.apk       03-May-2025 20:03                4311
perl-moosex-types-loadableclass-0.016-r0.apk       03-May-2025 20:03                3299
perl-moosex-types-loadableclass-doc-0.016-r0.apk   03-May-2025 20:03                3835
perl-moosex-types-path-tiny-0.012-r0.apk           26-Mar-2025 11:51                4101
perl-moosex-types-path-tiny-doc-0.012-r0.apk       26-Mar-2025 11:51                4212
perl-moosex-types-stringlike-0.003-r0.apk          26-Mar-2025 11:51                3120
perl-moosex-types-stringlike-doc-0.003-r0.apk      26-Mar-2025 11:51                3668
perl-moox-typetiny-0.002003-r0.apk                 09-Jun-2025 12:05                3636
perl-moox-typetiny-doc-0.002003-r0.apk             09-Jun-2025 12:05                3242
perl-multidimensional-0.014-r1.apk                 30-Jun-2025 07:25                5171
perl-multidimensional-doc-0.014-r1.apk             30-Jun-2025 07:25                3230
perl-musicbrainz-discid-0.06-r2.apk                30-Jun-2025 07:25                9147
perl-musicbrainz-discid-doc-0.06-r2.apk            30-Jun-2025 07:25                4466
perl-net-address-ip-local-0.1.2-r0.apk             25-Oct-2024 19:10                3532
perl-net-address-ip-local-doc-0.1.2-r0.apk         25-Oct-2024 19:10                3583
perl-net-amqp-rabbitmq-2.40012-r1.apk              30-Jun-2025 07:25               76508
perl-net-amqp-rabbitmq-doc-2.40012-r1.apk          30-Jun-2025 07:25                9984
perl-net-async-redis-6.006-r0.apk                  11-Dec-2024 16:22               59837
perl-net-async-redis-doc-6.006-r0.apk              11-Dec-2024 16:22               67478
perl-net-async-redis-xs-1.001-r2.apk               30-Jun-2025 07:25                9315
perl-net-async-redis-xs-doc-1.001-r2.apk           30-Jun-2025 07:25                5522
perl-net-curl-0.57-r1.apk                          30-Jun-2025 07:25               62965
perl-net-curl-doc-0.57-r1.apk                      30-Jun-2025 07:25               40342
perl-net-curl-promiser-0.20-r0.apk                 25-Oct-2024 19:10                9085
perl-net-curl-promiser-anyevent-0.20-r0.apk        25-Oct-2024 19:10                2791
perl-net-curl-promiser-doc-0.20-r0.apk             25-Oct-2024 19:10               11931
perl-net-curl-promiser-ioasync-0.20-r0.apk         25-Oct-2024 19:10                3049
perl-net-curl-promiser-mojo-0.20-r0.apk            25-Oct-2024 19:10                3190
perl-net-idn-encode-2.500-r2.apk                   30-Jun-2025 07:25               85586
perl-net-idn-encode-doc-2.500-r2.apk               30-Jun-2025 07:25               22353
perl-net-irr-0.10-r0.apk                           25-Oct-2024 19:10                5596
perl-net-irr-doc-0.10-r0.apk                       25-Oct-2024 19:10                5290
perl-net-jabber-2.0-r0.apk                         25-Oct-2024 19:10               51837
perl-net-jabber-bot-2.1.7-r0.apk                   25-Oct-2024 19:10               13817
perl-net-jabber-bot-doc-2.1.7-r0.apk               25-Oct-2024 19:10                7766
perl-net-jabber-doc-2.0-r0.apk                     25-Oct-2024 19:10               49514
perl-net-netmask-2.0003-r0.apk                     18-May-2025 04:10               13843
perl-net-netmask-doc-2.0003-r0.apk                 18-May-2025 04:10                8759
perl-net-patricia-1.23-r0.apk                      16-Aug-2025 14:25               20700
perl-net-patricia-doc-1.23-r0.apk                  16-Aug-2025 14:25                6437
perl-net-xmpp-1.05-r0.apk                          25-Oct-2024 19:10               59045
perl-net-xmpp-doc-1.05-r0.apk                      25-Oct-2024 19:10               44690
perl-netaddr-mac-0.98-r1.apk                       25-Oct-2024 19:10               11101
perl-netaddr-mac-doc-0.98-r1.apk                   25-Oct-2024 19:10                8181
perl-nice-try-1.3.17-r0.apk                        23-Jul-2025 04:04               28525
perl-nice-try-doc-1.3.17-r0.apk                    23-Jul-2025 04:04               12801
perl-number-format-1.76-r1.apk                     25-Oct-2024 19:10               15615
perl-number-format-doc-1.76-r1.apk                 25-Oct-2024 19:10                9231
perl-number-misc-1.2-r5.apk                        25-Oct-2024 19:10                5317
perl-number-misc-doc-1.2-r5.apk                    25-Oct-2024 19:10                4498
perl-number-tolerant-1.710-r0.apk                  25-Oct-2024 19:10               15192
perl-number-tolerant-doc-1.710-r0.apk              25-Oct-2024 19:10               26256
perl-object-array-0.060-r0.apk                     25-Oct-2024 19:10                5826
perl-object-array-doc-0.060-r0.apk                 25-Oct-2024 19:10                7130
perl-object-pad-fieldattr-checked-0.12-r1.apk      30-Jun-2025 07:25                8791
perl-object-pad-fieldattr-checked-doc-0.12-r1.apk  30-Jun-2025 07:25                4639
perl-object-signature-1.08-r0.apk                  04-Apr-2025 11:56                3763
perl-object-signature-doc-1.08-r0.apk              04-Apr-2025 11:56                5543
perl-openapi-client-1.07-r0.apk                    25-Oct-2024 19:10                8856
perl-openapi-client-doc-1.07-r0.apk                25-Oct-2024 19:10                7532
perl-opentracing-1.006-r0.apk                      25-Oct-2024 19:10               18423
perl-opentracing-doc-1.006-r0.apk                  25-Oct-2024 19:10               33511
perl-pango-1.227-r12.apk                           30-Jun-2025 07:25               77470
perl-pango-doc-1.227-r12.apk                       30-Jun-2025 07:25               84348
perl-parse-distname-0.05-r0.apk                    12-Jul-2025 06:30                5600
perl-parse-distname-doc-0.05-r0.apk                12-Jul-2025 06:30                4480
perl-path-dispatcher-1.08-r0.apk                   09-Jun-2025 12:05               14565
perl-path-dispatcher-doc-1.08-r0.apk               09-Jun-2025 12:05               38634
perl-path-iter-0.2-r3.apk                          25-Oct-2024 19:10                5343
perl-path-iter-doc-0.2-r3.apk                      25-Oct-2024 19:10                5279
perl-perlio-locale-0.10-r13.apk                    30-Jun-2025 07:25                4825
perl-perlio-locale-doc-0.10-r13.apk                30-Jun-2025 07:25                3186
perl-plack-middleware-expires-0.06-r3.apk          25-Oct-2024 19:10                3968
perl-plack-middleware-expires-doc-0.06-r3.apk      25-Oct-2024 19:10                3426
perl-plack-middleware-fixmissingbodyinredirect-..> 26-Dec-2024 09:36                3155
perl-plack-middleware-fixmissingbodyinredirect-..> 26-Dec-2024 09:36                3139
perl-plack-middleware-methodoverride-0.20-r0.apk   26-Mar-2025 11:51                3726
perl-plack-middleware-methodoverride-doc-0.20-r..> 26-Mar-2025 11:51                4140
perl-plack-middleware-removeredundantbody-0.09-..> 27-Dec-2024 14:02                2568
perl-plack-middleware-removeredundantbody-doc-0..> 27-Dec-2024 14:02                3153
perl-plack-middleware-reverseproxy-0.16-r2.apk     25-Oct-2024 19:10                3238
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk 25-Oct-2024 19:10                3125
perl-plack-test-externalserver-0.02-r0.apk         26-Mar-2025 11:51                2879
perl-plack-test-externalserver-doc-0.02-r0.apk     26-Mar-2025 11:51                3202
perl-pod-cpandoc-0.16-r6.apk                       25-Oct-2024 19:10                4678
perl-pod-cpandoc-doc-0.16-r6.apk                   25-Oct-2024 19:10                5014
perl-pod-tidy-0.10-r1.apk                          25-Oct-2024 19:10               10633
perl-pod-tidy-doc-0.10-r1.apk                      25-Oct-2024 19:10               10804
perl-power-tools-1.052-r0.apk                      23-Jul-2025 04:04              374509
perl-power-tools-doc-1.052-r0.apk                  23-Jul-2025 04:04              253131
perl-ppi-xs-0.910-r2.apk                           30-Jun-2025 07:25                6056
perl-ppi-xs-doc-0.910-r2.apk                       30-Jun-2025 07:25                3513
perl-prereqscanner-notquitelite-0.9917-r0.apk      12-Jul-2025 13:43               42011
perl-prereqscanner-notquitelite-doc-0.9917-r0.apk  12-Jul-2025 13:43               50261
perl-proc-guard-0.07-r4.apk                        25-Oct-2024 19:10                3780
perl-proc-guard-doc-0.07-r4.apk                    25-Oct-2024 19:10                3623
perl-promise-es6-0.28-r0.apk                       25-Oct-2024 19:10               10978
perl-promise-es6-anyevent-0.28-r0.apk              25-Oct-2024 19:10                2572
perl-promise-es6-doc-0.28-r0.apk                   25-Oct-2024 19:10               12367
perl-promise-es6-future-0.28-r0.apk                25-Oct-2024 19:10                2355
perl-promise-es6-io-async-0.28-r0.apk              25-Oct-2024 19:10                3038
perl-promise-es6-mojo-ioloop-0.28-r0.apk           25-Oct-2024 19:10                2646
perl-promise-me-0.6.0-r0.apk                       10-Aug-2025 01:01               27788
perl-promise-me-doc-0.6.0-r0.apk                   10-Aug-2025 01:01               13138
perl-promise-xs-0.20-r2.apk                        30-Jun-2025 07:25               24134
perl-promise-xs-doc-0.20-r2.apk                    30-Jun-2025 07:25                8966
perl-protocol-database-postgresql-2.001-r0.apk     25-Oct-2024 19:10               19649
perl-protocol-database-postgresql-doc-2.001-r0.apk 25-Oct-2024 19:10               38457
perl-protocol-redis-1.0021-r0.apk                  25-Oct-2024 19:10                5749
perl-protocol-redis-doc-1.0021-r0.apk              25-Oct-2024 19:10                5157
perl-protocol-redis-faster-0.003-r0.apk            25-Oct-2024 19:10                3512
perl-protocol-redis-faster-doc-0.003-r0.apk        25-Oct-2024 19:10                3411
perl-ref-util-xs-0.117-r9.apk                      30-Jun-2025 07:25                9988
perl-ref-util-xs-doc-0.117-r9.apk                  30-Jun-2025 07:25                3554
perl-regexp-trie-0.02-r0.apk                       12-Jul-2025 07:22                3049
perl-regexp-trie-doc-0.02-r0.apk                   12-Jul-2025 07:22                3388
perl-role-eventemitter-0.003-r0.apk                25-Oct-2024 19:10                3762
perl-role-eventemitter-doc-0.003-r0.apk            25-Oct-2024 19:10                4047
perl-rxperl-6.29.8-r0.apk                          25-Oct-2024 19:10               26911
perl-rxperl-anyevent-6.8.1-r0.apk                  25-Oct-2024 19:10                2799
perl-rxperl-anyevent-doc-6.8.1-r0.apk              25-Oct-2024 19:10                9177
perl-rxperl-doc-6.29.8-r0.apk                      25-Oct-2024 19:10               23117
perl-rxperl-ioasync-6.9.1-r0.apk                   25-Oct-2024 19:10                2898
perl-rxperl-ioasync-doc-6.9.1-r0.apk               25-Oct-2024 19:10                9219
perl-rxperl-mojo-6.8.2-r0.apk                      25-Oct-2024 19:10                2907
perl-rxperl-mojo-doc-6.8.2-r0.apk                  25-Oct-2024 19:10                9274
perl-ryu-4.001-r0.apk                              25-Oct-2024 19:10               26689
perl-ryu-async-0.020-r0.apk                        25-Oct-2024 19:10                7688
perl-ryu-async-doc-0.020-r0.apk                    25-Oct-2024 19:10               12105
perl-ryu-doc-4.001-r0.apk                          25-Oct-2024 19:10               36244
perl-scalar-readonly-0.03-r2.apk                   30-Jun-2025 07:25                5617
perl-scalar-readonly-doc-0.03-r2.apk               30-Jun-2025 07:25                3513
perl-session-storage-secure-1.000-r2.apk           25-Oct-2024 19:10                9043
perl-session-storage-secure-doc-1.000-r2.apk       25-Oct-2024 19:10                7583
perl-set-infinite-0.65-r0.apk                      23-Jul-2025 04:04               23438
perl-set-infinite-doc-0.65-r0.apk                  23-Jul-2025 04:04               11735
perl-shell-config-generate-0.34-r0.apk             08-Jun-2025 16:02                8028
perl-shell-config-generate-doc-0.34-r0.apk         08-Jun-2025 16:02                6994
perl-shell-guess-0.10-r0.apk                       07-Jun-2025 14:55                6116
perl-shell-guess-doc-0.10-r0.apk                   07-Jun-2025 14:55                5917
perl-signature-attribute-checked-0.06-r1.apk       30-Jun-2025 07:25                8228
perl-signature-attribute-checked-doc-0.06-r1.apk   30-Jun-2025 07:25                4746
perl-smart-comments-1.06-r0.apk                    07-Jul-2025 17:02               12576
perl-smart-comments-doc-1.06-r0.apk                07-Jul-2025 17:02                8722
perl-snmp-5.0404-r14.apk                           30-Jun-2025 07:25               67736
perl-snmp-doc-5.0404-r14.apk                       30-Jun-2025 07:25               14436
perl-snmp-info-3.974000-r0.apk                     12-Sep-2025 04:49              345037
perl-snmp-info-doc-3.974000-r0.apk                 12-Sep-2025 04:49              398937
perl-snowball-norwegian-1.2-r0.apk                 08-Jun-2025 16:02                5396
perl-snowball-norwegian-doc-1.2-r0.apk             08-Jun-2025 16:02                3991
perl-snowball-swedish-1.2-r0.apk                   08-Jun-2025 16:02                5366
perl-snowball-swedish-doc-1.2-r0.apk               08-Jun-2025 16:02                3954
perl-soap-lite-1.27-r5.apk                         25-Oct-2024 19:10              112865
perl-soap-lite-doc-1.27-r5.apk                     25-Oct-2024 19:10               92637
perl-software-license-0.104007-r0.apk              08-Jul-2025 16:53              109182
perl-software-license-doc-0.104007-r0.apk          08-Jul-2025 16:53               51649
perl-sort-naturally-1.03-r4.apk                    25-Oct-2024 19:10                8881
perl-sort-naturally-doc-1.03-r4.apk                25-Oct-2024 19:10                5595
perl-sort-versions-1.62-r0.apk                     25-Oct-2024 19:10                3831
perl-sort-versions-doc-1.62-r0.apk                 25-Oct-2024 19:10                4244
perl-sql-abstract-classic-1.91-r1.apk              25-Oct-2024 19:10               30224
perl-sql-abstract-classic-doc-1.91-r1.apk          25-Oct-2024 19:10               20716
perl-sql-abstract-more-1.44-r0.apk                 14-Jul-2025 07:46               28228
perl-sql-abstract-more-doc-1.44-r0.apk             14-Jul-2025 07:46               17071
perl-starman-0.4017-r0.apk                         25-Oct-2024 19:10               13759
perl-starman-doc-0.4017-r0.apk                     25-Oct-2024 19:10               10246
perl-statistics-basic-1.6611-r0.apk                25-Oct-2024 19:10                9434
perl-statistics-basic-doc-1.6611-r0.apk            25-Oct-2024 19:10               50975
perl-statistics-descriptive-3.0801-r0.apk          25-Oct-2024 19:10               31030
perl-statistics-descriptive-doc-3.0801-r0.apk      25-Oct-2024 19:10               38404
perl-storable-improved-0.1.3-r0.apk                25-Oct-2024 19:10                6862
perl-storable-improved-doc-0.1.3-r0.apk            25-Oct-2024 19:10                7078
perl-string-camelcase-0.04-r2.apk                  25-Oct-2024 19:10                3266
perl-string-camelcase-doc-0.04-r2.apk              25-Oct-2024 19:10                3546
perl-string-compare-constanttime-0.321-r7.apk      30-Jun-2025 07:25                7704
perl-string-compare-constanttime-doc-0.321-r7.apk  30-Jun-2025 07:25                5417
perl-string-crc32-2.100-r5.apk                     30-Jun-2025 07:25                7329
perl-string-crc32-doc-2.100-r5.apk                 30-Jun-2025 07:25                3518
perl-string-escape-2010.002-r0.apk                 02-Apr-2025 17:03                9085
perl-string-escape-doc-2010.002-r0.apk             02-Apr-2025 17:03                8020
perl-string-random-0.32-r2.apk                     25-Oct-2024 19:10                8102
perl-string-random-doc-0.32-r2.apk                 25-Oct-2024 19:10                6344
perl-string-toidentifier-en-0.12-r0.apk            09-Jun-2025 12:06                5572
perl-string-toidentifier-en-doc-0.12-r0.apk        09-Jun-2025 12:06                5716
perl-syntax-keyword-match-0.15-r1.apk              30-Jun-2025 07:25               14174
perl-syntax-keyword-match-doc-0.15-r1.apk          30-Jun-2025 07:25                8027
perl-syntax-operator-equ-0.10-r1.apk               30-Jun-2025 07:25                8462
perl-syntax-operator-equ-doc-0.10-r1.apk           30-Jun-2025 07:25                6725
perl-syntax-operator-in-0.10-r1.apk                30-Jun-2025 07:25                9897
perl-syntax-operator-in-doc-0.10-r1.apk            30-Jun-2025 07:25                6048
perl-sys-syscall-0.25-r10.apk                      25-Oct-2024 19:10                5479
perl-sys-syscall-doc-0.25-r10.apk                  25-Oct-2024 19:10                3777
perl-sys-virt-11.6.0-r0.apk                        14-Aug-2025 13:41              198661
perl-sys-virt-doc-11.6.0-r0.apk                    14-Aug-2025 13:41              108149
perl-system-command-1.122-r0.apk                   25-Oct-2024 19:10               12122
perl-system-command-doc-1.122-r0.apk               25-Oct-2024 19:10               10439
perl-task-catalyst-4.02-r0.apk                     15-Jun-2025 04:46                3021
perl-task-catalyst-doc-4.02-r0.apk                 15-Jun-2025 04:46                3768
perl-template-plugin-csv-0.04-r3.apk               25-Oct-2024 19:10                2774
perl-template-plugin-csv-doc-0.04-r3.apk           25-Oct-2024 19:10                3105
perl-template-plugin-number-format-1.06-r4.apk     25-Oct-2024 19:10                5023
perl-template-plugin-number-format-doc-1.06-r4.apk 25-Oct-2024 19:10                4503
perl-template-timer-1.00-r0.apk                    13-Apr-2025 20:28                3512
perl-template-timer-doc-1.00-r0.apk                13-Apr-2025 20:28                3745
perl-template-tiny-1.16-r0.apk                     24-Jul-2025 12:42                5677
perl-template-tiny-doc-1.16-r0.apk                 24-Jul-2025 12:42                5137
perl-term-size-0.211-r5.apk                        30-Jun-2025 07:25                6031
perl-term-size-doc-0.211-r5.apk                    30-Jun-2025 07:25                3892
perl-term-ui-0.50-r1.apk                           25-Oct-2024 19:10               10232
perl-term-ui-doc-0.50-r1.apk                       25-Oct-2024 19:10                8705
perl-test-api-0.010-r2.apk                         25-Oct-2024 19:10                5214
perl-test-api-doc-0.010-r2.apk                     25-Oct-2024 19:10                4350
perl-test-class-tiny-0.03-r0.apk                   25-Oct-2024 19:10                6017
perl-test-class-tiny-doc-0.03-r0.apk               25-Oct-2024 19:10                5571
perl-test-describeme-0.004-r0.apk                  25-Oct-2024 19:10                3674
perl-test-describeme-doc-0.004-r0.apk              25-Oct-2024 19:10                4283
perl-test-distribution-2.00-r1.apk                 25-Oct-2024 19:10                7939
perl-test-distribution-doc-2.00-r1.apk             25-Oct-2024 19:10                6225
perl-test-expander-2.5.1-r0.apk                    25-Oct-2024 19:10                7281
perl-test-expander-doc-2.5.1-r0.apk                25-Oct-2024 19:10               20556
perl-test-expect-0.34-r0.apk                       03-May-2025 20:03                3554
perl-test-expect-doc-0.34-r0.apk                   03-May-2025 20:03                3659
perl-test-file-1.995-r0.apk                        19-Apr-2025 17:55               11685
perl-test-file-doc-1.995-r0.apk                    19-Apr-2025 17:55                6956
perl-test-files-0.26-r0.apk                        25-Oct-2024 19:10                6894
perl-test-files-doc-0.26-r0.apk                    25-Oct-2024 19:10               14948
perl-test-kwalitee-1.28-r0.apk                     12-Jul-2025 13:43                6534
perl-test-kwalitee-doc-1.28-r0.apk                 12-Jul-2025 13:43                7059
perl-test-lwp-useragent-0.036-r0.apk               25-Oct-2024 19:10               10066
perl-test-lwp-useragent-doc-0.036-r0.apk           25-Oct-2024 19:10                8551
perl-test-memorygrowth-0.05-r0.apk                 25-Oct-2024 19:10                6571
perl-test-memorygrowth-doc-0.05-r0.apk             25-Oct-2024 19:10                5412
perl-test-modern-0.013-r3.apk                      25-Oct-2024 19:10               14976
perl-test-modern-doc-0.013-r3.apk                  25-Oct-2024 19:10               10107
perl-test-perl-critic-1.04-r0.apk                  12-Jul-2025 06:30                7001
perl-test-perl-critic-doc-1.04-r0.apk              12-Jul-2025 06:30                6564
perl-test-randomresult-0.001-r0.apk                25-Oct-2024 19:10                3605
perl-test-randomresult-doc-0.001-r0.apk            25-Oct-2024 19:10                3766
perl-test-redisserver-0.23-r0.apk                  25-Oct-2024 19:10                5096
perl-test-redisserver-doc-0.23-r0.apk              25-Oct-2024 19:10                4175
perl-test-requires-git-1.008-r0.apk                25-Oct-2024 19:10                4900
perl-test-requires-git-doc-1.008-r0.apk            25-Oct-2024 19:10                4508
perl-test-roo-1.004-r3.apk                         25-Oct-2024 19:10               12197
perl-test-roo-doc-1.004-r3.apk                     25-Oct-2024 19:10               15839
perl-test-settings-0.003-r0.apk                    25-Oct-2024 19:10                5066
perl-test-settings-doc-0.003-r0.apk                25-Oct-2024 19:10                6188
perl-test-timer-2.12-r2.apk                        25-Oct-2024 19:10                9099
perl-test-timer-doc-2.12-r2.apk                    25-Oct-2024 19:10                8593
perl-test-toolbox-0.4-r5.apk                       25-Oct-2024 19:10               10095
perl-test-toolbox-doc-0.4-r5.apk                   25-Oct-2024 19:10                6326
perl-test-trap-0.3.5-r1.apk                        25-Oct-2024 19:10               20245
perl-test-trap-doc-0.3.5-r1.apk                    25-Oct-2024 19:10               20385
perl-test-unit-0.27-r0.apk                         25-Oct-2024 19:10               37727
perl-test-unit-doc-0.27-r0.apk                     25-Oct-2024 19:10               49465
perl-test-useallmodules-0.17-r1.apk                25-Oct-2024 19:10                3912
perl-test-useallmodules-doc-0.17-r1.apk            25-Oct-2024 19:10                3957
perl-test-utf8-1.03-r0.apk                         20-Nov-2024 00:45                5707
perl-test-utf8-doc-1.03-r0.apk                     20-Nov-2024 00:45                4976
perl-test-www-mechanize-1.60-r0.apk                13-Apr-2025 20:28               15170
perl-test-www-mechanize-catalyst-0.62-r0.apk       20-Apr-2025 04:32                7535
perl-test-www-mechanize-catalyst-doc-0.62-r0.apk   20-Apr-2025 04:32                6373
perl-test-www-mechanize-doc-1.60-r0.apk            13-Apr-2025 20:28               10325
perl-test2-tools-explain-0.02-r0.apk               25-Oct-2024 19:10                3902
perl-test2-tools-explain-doc-0.02-r0.apk           25-Oct-2024 19:10                4540
perl-text-brew-0.02-r5.apk                         25-Oct-2024 19:10                4639
perl-text-brew-doc-0.02-r5.apk                     25-Oct-2024 19:10                4237
perl-text-german-0.06-r0.apk                       07-Jun-2025 02:00               13411
perl-text-german-doc-0.06-r0.apk                   07-Jun-2025 02:00                3107
perl-text-simpletable-2.07-r0.apk                  26-Mar-2025 11:51                4569
perl-text-simpletable-doc-2.07-r0.apk              26-Mar-2025 11:51                3534
perl-text-table-any-0.117-r0.apk                   25-Oct-2024 19:10                8263
perl-text-table-any-doc-0.117-r0.apk               25-Oct-2024 19:10                6812
perl-text-table-sprintf-0.008-r0.apk               25-Oct-2024 19:10                5483
perl-text-table-sprintf-doc-0.008-r0.apk           25-Oct-2024 19:10                5344
perl-text-worddiff-0.09-r0.apk                     09-Jun-2025 16:31               10682
perl-text-worddiff-doc-0.09-r0.apk                 09-Jun-2025 16:31               14001
perl-throwable-1.001-r1.apk                        25-Oct-2024 19:10                6371
perl-throwable-doc-1.001-r1.apk                    25-Oct-2024 19:10                8198
perl-tickit-widget-choice-0.07-r0.apk              25-Oct-2024 19:10                4023
perl-tickit-widget-choice-doc-0.07-r0.apk          25-Oct-2024 19:10                3494
perl-tickit-widget-entry-plugin-completion-0.02..> 25-Oct-2024 19:10                4737
perl-tickit-widget-entry-plugin-completion-doc-..> 25-Oct-2024 19:10                3941
perl-tickit-widget-floatbox-0.11-r0.apk            25-Oct-2024 19:10                4808
perl-tickit-widget-floatbox-doc-0.11-r0.apk        25-Oct-2024 19:10                4252
perl-tickit-widget-menu-0.16-r0.apk                25-Oct-2024 19:10                7352
perl-tickit-widget-menu-doc-0.16-r0.apk            25-Oct-2024 19:10                7081
perl-tickit-widget-scrollbox-0.12-r0.apk           25-Oct-2024 19:10                8196
perl-tickit-widget-scrollbox-doc-0.12-r0.apk       25-Oct-2024 19:10                6704
perl-tie-toobject-0.03-r0.apk                      26-Mar-2025 11:51                2663
perl-tie-toobject-doc-0.03-r0.apk                  26-Mar-2025 11:51                3249
perl-time-moment-0.44-r1.apk                       30-Jun-2025 07:25               39966
perl-time-moment-doc-0.44-r1.apk                   30-Jun-2025 07:25               31650
perl-time-moment-role-strptime-0.001-r0.apk        25-Oct-2024 19:10                2816
perl-time-moment-role-strptime-doc-0.001-r0.apk    25-Oct-2024 19:10                3444
perl-time-moment-role-timezone-1.000-r0.apk        25-Oct-2024 19:10                3649
perl-time-moment-role-timezone-doc-1.000-r0.apk    25-Oct-2024 19:10                4082
perl-time-timegm-0.01-r10.apk                      30-Jun-2025 07:25                7014
perl-time-timegm-doc-0.01-r10.apk                  30-Jun-2025 07:25                3962
perl-tree-simple-visitorfactory-0.16-r0.apk        26-Mar-2025 11:51               20387
perl-tree-simple-visitorfactory-doc-0.16-r0.apk    26-Mar-2025 11:51               47282
perl-types-path-tiny-0.006-r0.apk                  25-Oct-2024 19:10                4044
perl-types-path-tiny-doc-0.006-r0.apk              25-Oct-2024 19:10                4189
perl-uri-db-0.23-r0.apk                            09-Jan-2025 05:26               11150
perl-uri-db-doc-0.23-r0.apk                        09-Jan-2025 05:26                8555
perl-uri-fetch-0.15-r0.apk                         25-Oct-2024 19:10                7225
perl-uri-fetch-doc-0.15-r0.apk                     25-Oct-2024 19:10                7700
perl-uri-find-20160806-r0.apk                      26-Mar-2025 11:51               13946
perl-uri-find-doc-20160806-r0.apk                  26-Mar-2025 11:51                9343
perl-uri-nested-0.10-r0.apk                        25-Oct-2024 19:10                4103
perl-uri-nested-doc-0.10-r0.apk                    25-Oct-2024 19:10                3993
perl-uri-redis-0.02-r0.apk                         25-Oct-2024 19:10                3262
perl-uri-redis-doc-0.02-r0.apk                     25-Oct-2024 19:10                4680
perl-uri-tcp-2.0.0-r0.apk                          25-Oct-2024 19:10                2777
perl-uri-tcp-doc-2.0.0-r0.apk                      25-Oct-2024 19:10                5072
perl-uri-ws-0.03-r0.apk                            26-Mar-2025 11:51                2397
perl-uri-ws-doc-0.03-r0.apk                        26-Mar-2025 11:51                4432
perl-url-encode-0.03-r4.apk                        25-Oct-2024 19:10                5278
perl-url-encode-doc-0.03-r4.apk                    25-Oct-2024 19:10                4812
perl-variable-disposition-0.005-r0.apk             25-Oct-2024 19:10                3346
perl-variable-disposition-doc-0.005-r0.apk         25-Oct-2024 19:10                5786
perl-wanted-0.1.0-r0.apk                           10-Aug-2025 01:01               20906
perl-wanted-doc-0.1.0-r0.apk                       10-Aug-2025 01:01               10984
perl-web-machine-0.17-r0.apk                       13-Jun-2025 18:17               20765
perl-web-machine-doc-0.17-r0.apk                   13-Jun-2025 18:17               29394
perl-web-scraper-0.38-r0.apk                       12-Jul-2025 06:30                7707
perl-web-scraper-doc-0.38-r0.apk                   12-Jul-2025 06:30                8268
perl-x-tiny-0.22-r0.apk                            25-Oct-2024 19:10                7036
perl-x-tiny-doc-0.22-r0.apk                        25-Oct-2024 19:10                7777
perl-xml-atom-0.43-r0.apk                          25-Oct-2024 19:10               20079
perl-xml-atom-doc-0.43-r0.apk                      25-Oct-2024 19:10               16293
perl-xml-bare-0.53-r14.apk                         30-Jun-2025 07:25               28257
perl-xml-bare-doc-0.53-r14.apk                     30-Jun-2025 07:25               11740
perl-xml-feed-0.65-r0.apk                          25-Oct-2024 19:10               14183
perl-xml-feed-doc-0.65-r0.apk                      25-Oct-2024 19:10               12694
perl-xml-parser-style-easytree-0.09-r0.apk         25-Oct-2024 19:10                5085
perl-xml-parser-style-easytree-doc-0.09-r0.apk     25-Oct-2024 19:10                5548
perl-xml-rpc-2.1-r0.apk                            25-Oct-2024 19:10                5869
perl-xml-rpc-doc-2.1-r0.apk                        25-Oct-2024 19:10                4975
perl-xml-stream-1.24-r0.apk                        25-Oct-2024 19:10               45096
perl-xml-stream-doc-1.24-r0.apk                    25-Oct-2024 19:10               18082
perl-xml-xpathengine-0.14-r0.apk                   08-Jul-2025 16:54               22223
perl-xml-xpathengine-doc-0.14-r0.apk               08-Jul-2025 16:54               11293
persistent-cache-cpp-1.0.9-r0.apk                  08-Sep-2025 01:00               45202
persistent-cache-cpp-dev-1.0.9-r0.apk              08-Sep-2025 01:00               18269
persistent-cache-cpp-doc-1.0.9-r0.apk              08-Sep-2025 01:00                3276
pest-language-server-0.3.9-r0.apk                  25-Oct-2024 19:10             1068728
petitboot-1.14-r0.apk                              02-Oct-2025 16:10              203312
petitboot-dbg-1.14-r0.apk                          02-Oct-2025 16:10              615672
petitboot-doc-1.14-r0.apk                          02-Oct-2025 16:10                8255
pfetch-1.9.0-r0.apk                                10-Apr-2025 13:18               23723
pfetch-doc-1.9.0-r0.apk                            10-Apr-2025 13:18                5863
pgcat-1.2.0-r1.apk                                 01-Jan-2025 17:38             2563602
pgcat-openrc-1.2.0-r1.apk                          01-Jan-2025 17:38                1921
phoronix-test-suite-10.8.4-r2.apk                  25-Oct-2024 19:10             4138368
phoronix-test-suite-bash-completion-10.8.4-r2.apk  25-Oct-2024 19:10                1816
phoronix-test-suite-doc-10.8.4-r2.apk              25-Oct-2024 19:10              294378
phosh-tour-0.47.0-r0.apk                           03-Sep-2025 18:27               39819
phosh-tour-lang-0.47.0-r0.apk                      03-Sep-2025 18:27               31355
php81-8.1.33-r0.apk                                03-Jul-2025 00:06             1786061
php81-apache2-8.1.33-r0.apk                        03-Jul-2025 00:06             1758704
php81-bcmath-8.1.33-r0.apk                         03-Jul-2025 00:06               16402
php81-bz2-8.1.33-r0.apk                            03-Jul-2025 00:06               10505
php81-calendar-8.1.33-r0.apk                       03-Jul-2025 00:06               13453
php81-cgi-8.1.33-r0.apk                            03-Jul-2025 00:06             1762996
php81-common-8.1.33-r0.apk                         03-Jul-2025 00:06               25756
php81-ctype-8.1.33-r0.apk                          03-Jul-2025 00:06                5353
php81-curl-8.1.33-r0.apk                           03-Jul-2025 00:06               36790
php81-dba-8.1.33-r0.apk                            03-Jul-2025 00:06               22135
php81-dev-8.1.33-r0.apk                            03-Jul-2025 00:06              961580
php81-doc-8.1.33-r0.apk                            03-Jul-2025 00:06               69767
php81-dom-8.1.33-r0.apk                            03-Jul-2025 00:06               60102
php81-embed-8.1.33-r0.apk                          03-Jul-2025 00:06             1751536
php81-enchant-8.1.33-r0.apk                        03-Jul-2025 00:06                8961
php81-exif-8.1.33-r0.apk                           03-Jul-2025 00:06               29341
php81-ffi-8.1.33-r0.apk                            03-Jul-2025 00:06               74230
php81-fileinfo-8.1.33-r0.apk                       03-Jul-2025 00:06              385692
php81-fpm-8.1.33-r0.apk                            03-Jul-2025 00:06             1831767
php81-ftp-8.1.33-r0.apk                            03-Jul-2025 00:06               22309
php81-gd-8.1.33-r0.apk                             03-Jul-2025 00:06              126407
php81-gettext-8.1.33-r0.apk                        03-Jul-2025 00:06                6191
php81-gmp-8.1.33-r0.apk                            03-Jul-2025 00:06               20582
php81-iconv-8.1.33-r0.apk                          03-Jul-2025 00:06               17331
php81-imap-8.1.33-r0.apk                           03-Jul-2025 00:06               32593
php81-intl-8.1.33-r0.apk                           03-Jul-2025 00:06              137130
php81-ldap-8.1.33-r0.apk                           03-Jul-2025 00:06               30727
php81-litespeed-8.1.33-r0.apk                      03-Jul-2025 00:06             1774799
php81-mbstring-8.1.33-r0.apk                       03-Jul-2025 00:06              578677
php81-mysqli-8.1.33-r0.apk                         03-Jul-2025 00:06               39582
php81-mysqlnd-8.1.33-r0.apk                        03-Jul-2025 00:06               76542
php81-odbc-8.1.33-r0.apk                           03-Jul-2025 00:06               22732
php81-opcache-8.1.33-r0.apk                        03-Jul-2025 00:06              521035
php81-openssl-8.1.33-r0.apk                        03-Jul-2025 00:06               68842
php81-pcntl-8.1.33-r0.apk                          03-Jul-2025 00:06               13756
php81-pdo-8.1.33-r0.apk                            03-Jul-2025 00:06               41245
php81-pdo_dblib-8.1.33-r0.apk                      03-Jul-2025 00:06               12994
php81-pdo_mysql-8.1.33-r0.apk                      03-Jul-2025 00:06               13481
php81-pdo_odbc-8.1.33-r0.apk                       03-Jul-2025 00:06               13025
php81-pdo_pgsql-8.1.33-r0.apk                      03-Jul-2025 00:06               19146
php81-pdo_sqlite-8.1.33-r0.apk                     03-Jul-2025 00:06               13286
php81-pear-8.1.33-r0.apk                           03-Jul-2025 00:06              345967
php81-pecl-amqp-2.1.2-r0.apk                       25-Oct-2024 19:10               57744
php81-pecl-apcu-5.1.27-r0.apk                      28-Aug-2025 21:50               57136
php81-pecl-ast-1.1.3-r0.apk                        10-Aug-2025 17:43               21051
php81-pecl-brotli-0.18.2-r0.apk                    18-Aug-2025 00:38               15416
php81-pecl-couchbase-4.3.0-r0.apk                  15-Jun-2025 04:00             4882827
php81-pecl-csv-0.4.3-r0.apk                        25-Feb-2025 15:45               10404
php81-pecl-decimal-1.5.0-r1.apk                    25-Oct-2024 19:10               19587
php81-pecl-ds-1.6.0-r0.apk                         07-May-2025 13:16               59134
php81-pecl-event-3.1.4-r0.apk                      25-Oct-2024 19:10               53009
php81-pecl-grpc-1.75.0-r0.apk                      28-Sep-2025 00:00             4195603
php81-pecl-igbinary-3.2.16-r0.apk                  25-Oct-2024 19:10               32810
php81-pecl-imagick-3.8.0-r1.apk                    25-Sep-2025 22:00              107550
php81-pecl-imagick-dev-3.8.0-r1.apk                25-Sep-2025 22:00                2345
php81-pecl-immutable_cache-6.1.0-r0.apk            25-Oct-2024 19:10               41004
php81-pecl-jsmin-3.0.0-r0.apk                      25-Oct-2024 19:10               11222
php81-pecl-luasandbox-4.1.2-r0.apk                 25-Oct-2024 19:10               31612
php81-pecl-lzf-1.7.0-r0.apk                        25-Oct-2024 19:10                7883
php81-pecl-mailparse-3.1.9-r0.apk                  30-Sep-2025 12:38               24519
php81-pecl-maxminddb-1.12.1-r0.apk                 07-May-2025 11:53                8710
php81-pecl-mcrypt-1.0.9-r0.apk                     05-Aug-2025 12:42               15456
php81-pecl-memcache-8.2-r1.apk                     25-Oct-2024 19:10               45691
php81-pecl-memcached-3.3.0-r0.apk                  25-Oct-2024 19:10               46860
php81-pecl-memprof-3.1.0-r0.apk                    24-Feb-2025 17:23               14531
php81-pecl-mongodb-2.1.1-r0.apk                    15-Jun-2025 04:00              839692
php81-pecl-msgpack-3.0.0-r0.apk                    25-Oct-2024 19:10               27768
php81-pecl-oauth-2.0.9-r0.apk                      25-Oct-2024 19:10               36109
php81-pecl-opentelemetry-1.2.0-r0.apk              12-Jul-2025 13:10               13586
php81-pecl-pcov-1.0.12-r0.apk                      04-Dec-2024 17:17               10041
php81-pecl-protobuf-4.32.1-r0.apk                  01-Oct-2025 01:59              149504
php81-pecl-psr-1.2.0-r0.apk                        25-Oct-2024 19:10               19784
php81-pecl-rdkafka-6.0.5-r0.apk                    04-Nov-2024 12:52               37556
php81-pecl-redis-6.2.0-r0.apk                      27-Mar-2025 10:58              197298
php81-pecl-smbclient-1.2.0_pre-r0.apk              10-Dec-2024 19:01               21102
php81-pecl-ssh2-1.4.1-r0.apk                       25-Oct-2024 19:10               29194
php81-pecl-swoole-6.0.2-r0.apk                     26-Mar-2025 18:29              890231
php81-pecl-swoole-dev-6.0.2-r0.apk                 26-Mar-2025 18:29              217988
php81-pecl-timezonedb-2025.2-r0.apk                27-Mar-2025 11:06              193763
php81-pecl-uploadprogress-2.0.2-r1.apk             25-Oct-2024 19:10                7065
php81-pecl-uploadprogress-doc-2.0.2-r1.apk         25-Oct-2024 19:10               10162
php81-pecl-uuid-1.3.0-r0.apk                       13-May-2025 22:00                6923
php81-pecl-xdebug-3.4.5-r0.apk                     23-Jul-2025 04:04              145717
php81-pecl-xhprof-2.3.10-r0.apk                    25-Oct-2024 19:10               13251
php81-pecl-xhprof-assets-2.3.10-r0.apk             25-Oct-2024 19:10              819824
php81-pecl-xlswriter-1.5.8-r0.apk                  11-Nov-2024 01:44              227312
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk                 25-Oct-2024 19:10               37760
php81-pecl-yaml-2.2.5-r0.apk                       03-Aug-2025 14:52               18961
php81-pecl-zephir_parser-1.8.0-r0.apk              29-Sep-2025 12:34               58671
php81-pecl-zstd-0.15.2-r0.apk                      09-Sep-2025 19:05               17459
php81-pgsql-8.1.33-r0.apk                          03-Jul-2025 00:06               44439
php81-phar-8.1.33-r0.apk                           03-Jul-2025 00:06              119158
php81-phpdbg-8.1.33-r0.apk                         03-Jul-2025 00:06             1831258
php81-posix-8.1.33-r0.apk                          03-Jul-2025 00:06               11527
php81-pspell-8.1.33-r0.apk                         03-Jul-2025 00:06                8414
php81-session-8.1.33-r0.apk                        03-Jul-2025 00:06               35613
php81-shmop-8.1.33-r0.apk                          03-Jul-2025 00:06                6547
php81-simplexml-8.1.33-r0.apk                      03-Jul-2025 00:06               22520
php81-snmp-8.1.33-r0.apk                           03-Jul-2025 00:06               20799
php81-soap-8.1.33-r0.apk                           03-Jul-2025 00:06              128939
php81-sockets-8.1.33-r0.apk                        03-Jul-2025 00:06               36372
php81-sodium-8.1.33-r0.apk                         03-Jul-2025 00:06               25158
php81-spx-0.4.20-r0.apk                            03-Jul-2025 00:06              109167
php81-sqlite3-8.1.33-r0.apk                        03-Jul-2025 00:06               21348
php81-sysvmsg-8.1.33-r0.apk                        03-Jul-2025 00:06                7997
php81-sysvsem-8.1.33-r0.apk                        03-Jul-2025 00:06                6166
php81-sysvshm-8.1.33-r0.apk                        03-Jul-2025 00:06                7124
php81-tideways_xhprof-5.0.4-r1.apk                 25-Oct-2024 19:10               13044
php81-tidy-8.1.33-r0.apk                           03-Jul-2025 00:06               18963
php81-tokenizer-8.1.33-r0.apk                      03-Jul-2025 00:06               12148
php81-xml-8.1.33-r0.apk                            03-Jul-2025 00:06               19337
php81-xmlreader-8.1.33-r0.apk                      03-Jul-2025 00:06               13639
php81-xmlwriter-8.1.33-r0.apk                      03-Jul-2025 00:06               11523
php81-xsl-8.1.33-r0.apk                            03-Jul-2025 00:06               13594
php81-zip-8.1.33-r0.apk                            03-Jul-2025 00:06               25165
php82-pdlib-1.1.0-r1.apk                           25-Oct-2024 19:10              495148
php82-pecl-apfd-1.0.3-r0.apk                       25-Oct-2024 19:10                4849
php82-pecl-excimer-1.2.5-r0.apk                    20-May-2025 15:42               21240
php82-pecl-immutable_cache-6.1.0-r0.apk            25-Oct-2024 19:10               41212
php82-pecl-jsmin-3.0.0-r0.apk                      25-Oct-2024 19:10               11218
php82-pecl-oauth-2.0.9-r0.apk                      25-Oct-2024 19:10               36393
php82-pecl-runkit7-4.0.0_alpha6-r1.apk             25-Oct-2024 19:10               31929
php82-pecl-teds-1.3.0-r0.apk                       25-Oct-2024 19:10              131178
php82-pecl-vld-0.19.1-r0.apk                       23-Jul-2025 04:04               15998
php82-pecl-zephir_parser-1.8.0-r0.apk              29-Sep-2025 12:34               58684
php82-snappy-0.2.3-r0.apk                          09-Apr-2025 18:19                5645
php83-pecl-apfd-1.0.3-r0.apk                       25-Oct-2024 19:10                4856
php83-pecl-eio-3.1.4-r0.apk                        23-Jul-2025 04:04               28978
php83-pecl-ev-1.2.1-r0.apk                         23-Jul-2025 04:04               41633
php83-pecl-excimer-1.2.5-r0.apk                    20-May-2025 15:42               21270
php83-pecl-jsmin-3.0.0-r0.apk                      25-Oct-2024 19:10               11222
php83-pecl-oauth-2.0.9-r0.apk                      25-Oct-2024 19:10               36417
php83-pecl-phpy-1.0.11-r1.apk                      13-May-2025 22:00               42748
php83-pecl-uv-0.3.0-r0.apk                         25-Oct-2024 19:10               51957
php83-pecl-vld-0.19.1-r0.apk                       23-Jul-2025 04:04               15990
php83-pecl-zmq-1.1.4-r0.apk                        25-Oct-2024 19:10               32397
php84-pecl-csv-0.4.3-r0.apk                        25-Feb-2025 15:45               10431
php84-pecl-ev-1.2.1-r0.apk                         23-Jul-2025 04:04               41693
php84-pecl-mcrypt-1.0.9-r0.apk                     05-Aug-2025 12:42               15429
php84-pecl-memprof-3.1.0-r0.apk                    24-Feb-2025 17:23               14545
php84-pecl-oauth-2.0.9-r0.apk                      25-Oct-2024 19:10               36438
php84-pecl-phpy-1.0.11-r1.apk                      13-May-2025 22:00               42789
php84-pecl-solr-2.8.1-r0.apk                       03-May-2025 20:03               88799
php84-pecl-uv-0.3.0-r0.apk                         28-Oct-2024 12:47               51967
php84-pecl-vld-0.19.1-r0.apk                       23-Jul-2025 04:04               16227
php84-snappy-0.2.3-r0.apk                          09-Apr-2025 18:19                5643
php85-8.5.0_rc1-r0.apk                             24-Sep-2025 13:21             3193171
php85-apache2-8.5.0_rc1-r0.apk                     24-Sep-2025 13:21             3170611
php85-bcmath-8.5.0_rc1-r0.apk                      24-Sep-2025 13:21               27744
php85-bz2-8.5.0_rc1-r0.apk                         24-Sep-2025 13:21               10854
php85-calendar-8.5.0_rc1-r0.apk                    24-Sep-2025 13:21               13893
php85-cgi-8.5.0_rc1-r0.apk                         24-Sep-2025 13:21             3172439
php85-common-8.5.0_rc1-r0.apk                      24-Sep-2025 13:21               24764
php85-ctype-8.5.0_rc1-r0.apk                       24-Sep-2025 13:21                5212
php85-curl-8.5.0_rc1-r0.apk                        24-Sep-2025 13:21               44424
php85-dba-8.5.0_rc1-r0.apk                         24-Sep-2025 13:21               24833
php85-dbg-8.5.0_rc1-r0.apk                         24-Sep-2025 13:21            66184851
php85-dev-8.5.0_rc1-r0.apk                         24-Sep-2025 13:21             1320046
php85-doc-8.5.0_rc1-r0.apk                         24-Sep-2025 13:21               65147
php85-dom-8.5.0_rc1-r0.apk                         24-Sep-2025 13:21              142479
php85-embed-8.5.0_rc1-r0.apk                       24-Sep-2025 13:21             3163437
php85-enchant-8.5.0_rc1-r0.apk                     24-Sep-2025 13:21                9972
php85-exif-8.5.0_rc1-r0.apk                        24-Sep-2025 13:21               30498
php85-ffi-8.5.0_rc1-r0.apk                         24-Sep-2025 13:21               76334
php85-fileinfo-8.5.0_rc1-r0.apk                    24-Sep-2025 13:21              509301
php85-fpm-8.5.0_rc1-r0.apk                         24-Sep-2025 13:21             3241918
php85-ftp-8.5.0_rc1-r0.apk                         24-Sep-2025 13:21               23597
php85-gd-8.5.0_rc1-r0.apk                          24-Sep-2025 13:21              130825
php85-gettext-8.5.0_rc1-r0.apk                     24-Sep-2025 13:21                7085
php85-gmp-8.5.0_rc1-r0.apk                         24-Sep-2025 13:21               19672
php85-iconv-8.5.0_rc1-r0.apk                       24-Sep-2025 13:21               17591
php85-intl-8.5.0_rc1-r0.apk                        24-Sep-2025 13:21              170244
php85-ldap-8.5.0_rc1-r0.apk                        24-Sep-2025 13:21               32769
php85-litespeed-8.5.0_rc1-r0.apk                   24-Sep-2025 13:21             3183985
php85-mbstring-8.5.0_rc1-r0.apk                    24-Sep-2025 13:21              643375
php85-mysqli-8.5.0_rc1-r0.apk                      24-Sep-2025 13:21               42195
php85-mysqlnd-8.5.0_rc1-r0.apk                     24-Sep-2025 13:21               75187
php85-odbc-8.5.0_rc1-r0.apk                        24-Sep-2025 13:21               26227
php85-openssl-8.5.0_rc1-r0.apk                     24-Sep-2025 13:21               78610
php85-pcntl-8.5.0_rc1-r0.apk                       24-Sep-2025 13:21               18527
php85-pdo-8.5.0_rc1-r0.apk                         24-Sep-2025 13:21               44647
php85-pdo_dblib-8.5.0_rc1-r0.apk                   24-Sep-2025 13:21               13938
php85-pdo_mysql-8.5.0_rc1-r0.apk                   24-Sep-2025 13:21               15875
php85-pdo_odbc-8.5.0_rc1-r0.apk                    24-Sep-2025 13:21               14961
php85-pdo_pgsql-8.5.0_rc1-r0.apk                   24-Sep-2025 13:21               24642
php85-pdo_sqlite-8.5.0_rc1-r0.apk                  24-Sep-2025 13:21               19582
php85-pear-8.5.0_rc1-r0.apk                        24-Sep-2025 13:21              345981
php85-pecl-apcu-5.1.27-r1.apk                      24-Sep-2025 13:21               58203
php85-pecl-ast-1.1.3-r1.apk                        24-Sep-2025 13:21               21316
php85-pecl-brotli-0.18.2-r1.apk                    24-Sep-2025 13:21               15496
php85-pecl-ev-1.2.1-r1.apk                         24-Sep-2025 13:21               41616
php85-pecl-grpc-1.75.0-r0.apk                      29-Sep-2025 11:51             4195823
php85-pecl-igbinary-3.2.16-r3.apk                  25-Sep-2025 22:00               28587
php85-pecl-imagick-3.8.0-r0.apk                    25-Sep-2025 22:00              107820
php85-pecl-imagick-dev-3.8.0-r0.apk                25-Sep-2025 22:00                2349
php85-pecl-mailparse-3.1.9-r0.apk                  30-Sep-2025 12:38               24613
php85-pecl-protobuf-4.32.1-r0.apk                  24-Sep-2025 13:21              150222
php85-pecl-uploadprogress-2.0.2-r1.apk             24-Sep-2025 13:21                6956
php85-pecl-uploadprogress-doc-2.0.2-r1.apk         24-Sep-2025 13:21                9811
php85-pecl-vld-0.19.1-r1.apk                       24-Sep-2025 13:21               16225
php85-pecl-xdebug-3.4.5-r2.apk                     24-Sep-2025 13:21              146293
php85-pecl-xhprof-2.3.10-r1.apk                    24-Sep-2025 13:21               13143
php85-pecl-xhprof-assets-2.3.10-r1.apk             24-Sep-2025 13:21              826509
php85-pecl-yaml-2.2.5-r1.apk                       24-Sep-2025 13:21               19110
php85-pecl-zstd-0.15.2-r1.apk                      24-Sep-2025 13:21               17539
php85-pgsql-8.5.0_rc1-r0.apk                       24-Sep-2025 13:21               55145
php85-phar-8.5.0_rc1-r0.apk                        24-Sep-2025 13:21              120872
php85-phpdbg-8.5.0_rc1-r0.apk                      24-Sep-2025 13:21             3238087
php85-posix-8.5.0_rc1-r0.apk                       24-Sep-2025 13:21               12695
php85-session-8.5.0_rc1-r0.apk                     24-Sep-2025 13:21               39257
php85-shmop-8.5.0_rc1-r0.apk                       24-Sep-2025 13:21                6868
php85-simplexml-8.5.0_rc1-r0.apk                   24-Sep-2025 13:21               22075
php85-snmp-8.5.0_rc1-r0.apk                        24-Sep-2025 13:21               21434
php85-soap-8.5.0_rc1-r0.apk                        24-Sep-2025 13:21              133304
php85-sockets-8.5.0_rc1-r0.apk                     24-Sep-2025 13:21               41809
php85-sodium-8.5.0_rc1-r0.apk                      24-Sep-2025 13:21               27942
php85-spx-0.4.20-r1.apk                            25-Sep-2025 22:00              106928
php85-sqlite3-8.5.0_rc1-r0.apk                     24-Sep-2025 13:21               24518
php85-sysvmsg-8.5.0_rc1-r0.apk                     24-Sep-2025 13:21                8275
php85-sysvsem-8.5.0_rc1-r0.apk                     24-Sep-2025 13:21                6127
php85-sysvshm-8.5.0_rc1-r0.apk                     24-Sep-2025 13:21                7128
php85-tidy-8.5.0_rc1-r0.apk                        24-Sep-2025 13:21               19641
php85-tokenizer-8.5.0_rc1-r0.apk                   24-Sep-2025 13:21               12236
php85-xml-8.5.0_rc1-r0.apk                         24-Sep-2025 13:21               24631
php85-xmlreader-8.5.0_rc1-r0.apk                   24-Sep-2025 13:21               16150
php85-xmlwriter-8.5.0_rc1-r0.apk                   24-Sep-2025 13:21               12917
php85-xsl-8.5.0_rc1-r0.apk                         24-Sep-2025 13:21               15188
php85-zip-8.5.0_rc1-r0.apk                         24-Sep-2025 13:21               29818
phpactor-2025.04.17.0-r0.apk                       30-May-2025 14:44             3718136
pick-4.0.0-r0.apk                                  25-Oct-2024 19:10               10340
pick-doc-4.0.0-r0.apk                              25-Oct-2024 19:10                3405
pict-rs-0.5.19-r0.apk                              19-May-2025 09:19             5776749
pict-rs-openrc-0.5.19-r0.apk                       19-May-2025 09:19                1935
pidif-0.1-r1.apk                                   25-Oct-2024 19:10              157465
piglit-0_git20241106-r1.apk                        13-May-2025 22:00            96420097
pigpio-79-r4.apk                                   25-Oct-2024 19:10              224860
pigpio-dev-79-r4.apk                               25-Oct-2024 19:10               92977
pigpio-doc-79-r4.apk                               25-Oct-2024 19:10              118119
pigpio-openrc-79-r4.apk                            25-Oct-2024 19:10                1662
pihole-6.2.3-r0.apk                                20-Jun-2025 18:05             5815376
pihole-bash-completion-6.2.3-r0.apk                20-Jun-2025 18:05                2237
pihole-doc-6.2.3-r0.apk                            20-Jun-2025 18:05                3841
pihole-openrc-6.2.3-r0.apk                         20-Jun-2025 18:05                1890
piler-1.4.7-r0.apk                                 16-Jan-2025 10:12             2243508
piler-openrc-1.4.7-r0.apk                          16-Jan-2025 10:12                2238
pimd-3.0_git20220201-r0.apk                        25-Oct-2024 19:10               86392
pimd-dense-2.1.0-r0.apk                            25-Oct-2024 19:10               53860
pimd-dense-doc-2.1.0-r0.apk                        25-Oct-2024 19:10               20149
pimd-dense-openrc-2.1.0-r0.apk                     25-Oct-2024 19:10                1892
pimd-doc-3.0_git20220201-r0.apk                    25-Oct-2024 19:10               35590
pimd-openrc-3.0_git20220201-r0.apk                 25-Oct-2024 19:10                1662
pinentry-bemenu-0.14.0-r1.apk                      06-Jul-2025 00:16                8976
pinephone-call-audio-0.1-r0.apk                    25-Oct-2024 19:10                7486
pinephone-compass-0.4.0-r1.apk                     25-Oct-2024 19:10               18733
piper-phonemize-2023.11.14.4-r9.apk                12-Jul-2025 16:01             9432632
piper-phonemize-dev-2023.11.14.4-r9.apk            12-Jul-2025 16:01              403689
piper-phonemize-libs-2023.11.14.4-r9.apk           12-Jul-2025 16:01               70104
piper-tts-2023.11.14.2-r14.apk                     12-Jul-2025 16:01              130215
piper-tts-dev-2023.11.14.2-r14.apk                 12-Jul-2025 16:01              144407
piping-server-0.18.0-r0.apk                        25-Oct-2024 19:10             1487395
piping-server-openrc-0.18.0-r0.apk                 25-Oct-2024 19:10                1843
pithos-1.6.1-r0.apk                                25-Oct-2024 19:10              106964
pithos-doc-1.6.1-r0.apk                            25-Oct-2024 19:10                2138
pithos-pyc-1.6.1-r0.apk                            25-Oct-2024 19:10              157984
pitivi-2023.03-r2.apk                              23-Dec-2024 01:15             2812173
pitivi-lang-2023.03-r2.apk                         23-Dec-2024 01:15              694551
pitivi-pyc-2023.03-r2.apk                          23-Dec-2024 01:15              716962
pixi-0.24.2-r0.apk                                 25-Oct-2024 19:10             9501879
pixi-bash-completion-0.24.2-r0.apk                 25-Oct-2024 19:10                7324
pixi-doc-0.24.2-r0.apk                             25-Oct-2024 19:10                7035
pixi-fish-completion-0.24.2-r0.apk                 25-Oct-2024 19:10               10320
pixi-zsh-completion-0.24.2-r0.apk                  25-Oct-2024 19:10               10678
pixiewps-1.4.2-r2.apk                              13-May-2025 22:00               51262
pixiewps-doc-1.4.2-r2.apk                          13-May-2025 22:00                3484
plakar-1.0.4-r0.apk                                16-Sep-2025 18:37            18692780
plakar-doc-1.0.4-r0.apk                            16-Sep-2025 18:37                2128
planarity-4.0.0.0-r0.apk                           26-Mar-2025 11:51               23234
planarity-dev-4.0.0.0-r0.apk                       26-Mar-2025 11:51               23548
planarity-doc-4.0.0.0-r0.apk                       26-Mar-2025 11:51               12866
planarity-libs-4.0.0.0-r0.apk                      26-Mar-2025 11:51               75857
planner-0.14.92-r1.apk                             08-Dec-2024 21:43              359429
planner-doc-0.14.92-r1.apk                         08-Dec-2024 21:43                2208
planner-lang-0.14.92-r1.apk                        08-Dec-2024 21:43              844372
platformio-core-6.1.7-r3.apk                       25-Oct-2024 19:10              268803
platformio-core-pyc-6.1.7-r3.apk                   25-Oct-2024 19:10              565021
please-0.5.6-r0.apk                                29-Aug-2025 08:10              982318
please-build-17.17.0-r3.apk                        06-Sep-2025 16:20             7238432
please-build-bash-completion-17.17.0-r3.apk        06-Sep-2025 16:20                1985
please-build-tools-17.17.0-r3.apk                  06-Sep-2025 16:20            10906605
please-build-zsh-completion-17.17.0-r3.apk         06-Sep-2025 16:20                1991
please-doc-0.5.6-r0.apk                            29-Aug-2025 08:10               16441
plfit-1.0.1-r0.apk                                 04-Jan-2025 03:47               54416
plfit-dev-1.0.1-r0.apk                             04-Jan-2025 03:47                6635
plfit-libs-1.0.1-r0.apk                            04-Jan-2025 03:47               42257
plfit-static-1.0.1-r0.apk                          04-Jan-2025 03:47               39684
plib-1.8.5-r3.apk                                  25-Oct-2024 19:10              898594
plots-0.7.0-r1.apk                                 06-Nov-2024 09:41              528099
plplot-5.15.0-r2.apk                               25-Oct-2024 19:10               32111
plplot-dev-5.15.0-r2.apk                           25-Oct-2024 19:10               60407
plplot-doc-5.15.0-r2.apk                           25-Oct-2024 19:10              318024
plplot-libs-5.15.0-r2.apk                          25-Oct-2024 19:10              196405
pmccabe-2.8-r1.apk                                 25-Oct-2024 19:10               25381
pmccabe-doc-2.8-r1.apk                             25-Oct-2024 19:10                7318
pneink-theme-1.3-r0.apk                            30-Jul-2025 00:32               10078
pneink-theme-doc-1.3-r0.apk                        30-Jul-2025 00:32                1691
pnmixer-0.7.2-r3.apk                               25-Oct-2024 19:10              144966
pnmixer-doc-0.7.2-r3.apk                           25-Oct-2024 19:10                2353
pnmixer-lang-0.7.2-r3.apk                          25-Oct-2024 19:10               25400
pokoy-0.2.5-r0.apk                                 25-Oct-2024 19:10                9566
pokoy-doc-0.2.5-r0.apk                             25-Oct-2024 19:10                3064
policycoreutils-3.6-r1.apk                         25-Oct-2024 19:10               68794
policycoreutils-bash-completion-3.6-r1.apk         25-Oct-2024 19:10                2473
policycoreutils-doc-3.6-r1.apk                     25-Oct-2024 19:10               22854
policycoreutils-lang-3.6-r1.apk                    25-Oct-2024 19:10              107921
polycule-0.2.5_git20250604-r1.apk                  13-Jul-2025 21:22            29963875
polyglot-2.0.4-r1.apk                              25-Oct-2024 19:10               67611
polyglot-doc-2.0.4-r1.apk                          25-Oct-2024 19:10               48737
pomo-0.8.1-r26.apk                                 06-Sep-2025 16:20             1673593
pomo-doc-0.8.1-r26.apk                             06-Sep-2025 16:20                2798
pongoos-loader-0_git20210704-r1.apk                25-Oct-2024 19:10                2428
pop-cursor-theme-3.5.1-r0.apk                      26-Mar-2025 11:51            13437928
pop-icon-theme-3.5.1-r0.apk                        26-Mar-2025 11:51             1333958
pop-launcher-1.2.7-r0.apk                          02-Oct-2025 16:10             2492161
popeye-0.22.1-r7.apk                               06-Sep-2025 16:20            27625935
porla-0.41.0-r2.apk                                24-Feb-2025 15:36             4038934
porla-doc-0.41.0-r2.apk                            24-Feb-2025 15:36                2245
porla-openrc-0.41.0-r2.apk                         24-Feb-2025 15:36                2753
portsmf-239-r1.apk                                 25-Oct-2024 19:10               56427
portsmf-dev-239-r1.apk                             25-Oct-2024 19:10               20762
postgresql-hll-2.18-r0.apk                         25-Oct-2024 19:10               26786
postgresql-hll-bitcode-2.18-r0.apk                 25-Oct-2024 19:10               56272
postgresql-pg_later-0.0.14-r1.apk                  25-Oct-2024 19:10              596966
postgresql-pg_variables-1.2.5_git20230922-r0.apk   25-Oct-2024 19:10               23556
postgresql-pg_variables-bitcode-1.2.5_git202309..> 25-Oct-2024 19:10               54995
postgresql-pgmq-1.1.1-r1.apk                       25-Oct-2024 19:10              251218
postgresql16-wal2json-2.6-r0.apk                   25-Oct-2024 19:10               69845
pounce-3.1-r4.apk                                  13-Sep-2025 01:00               31062
pounce-doc-3.1-r4.apk                              13-Sep-2025 01:00                8746
pounce-openrc-3.1-r4.apk                           13-Sep-2025 01:00                2812
powder-toy-97.0.352-r1.apk                         25-Oct-2024 19:10              824536
powerctl-1.1-r6.apk                                18-Apr-2025 16:34              118996
powerctl-doc-1.1-r6.apk                            18-Apr-2025 16:34                3283
powerstat-0.04.01-r0.apk                           25-Oct-2024 19:10               19034
powerstat-bash-completion-0.04.01-r0.apk           25-Oct-2024 19:10                2348
powerstat-doc-0.04.01-r0.apk                       25-Oct-2024 19:10                4365
pptpclient-1.10.0-r6.apk                           30-Jun-2025 07:25               33297
pptpclient-doc-1.10.0-r6.apk                       30-Jun-2025 07:25                7383
pqiv-2.12-r1.apk                                   25-Oct-2024 19:10               65520
pqiv-doc-2.12-r1.apk                               25-Oct-2024 19:10               12315
predict-2.3.1-r0.apk                               24-Nov-2024 07:46               93414
predict-doc-2.3.1-r0.apk                           24-Nov-2024 07:46               16469
primecount-7.19-r0.apk                             07-Aug-2025 06:04               29637
primecount-dev-7.19-r0.apk                         07-Aug-2025 06:04             2286233
primecount-doc-7.19-r0.apk                         07-Aug-2025 06:04                3977
primecount-libs-7.19-r0.apk                        07-Aug-2025 06:04              163719
primesieve-12.9-r0.apk                             07-Aug-2025 06:04               43425
primesieve-dev-12.9-r0.apk                         07-Aug-2025 06:04             1347387
primesieve-doc-12.9-r0.apk                         07-Aug-2025 06:04                4162
primesieve-libs-12.9-r0.apk                        07-Aug-2025 06:04              114807
prjtrellis-1.4-r2.apk                              25-Oct-2024 19:10             1252728
prjtrellis-db-0_git20230929-r0.apk                 25-Oct-2024 19:10                3376
prjtrellis-db-ecp5-0_git20230929-r0.apk            25-Oct-2024 19:10             2236783
prjtrellis-db-machxo-0_git20230929-r0.apk          25-Oct-2024 19:10               40144
prjtrellis-db-machxo2-0_git20230929-r0.apk         25-Oct-2024 19:10             1037684
prjtrellis-db-machxo3-0_git20230929-r0.apk         25-Oct-2024 19:10             1117818
prjtrellis-db-machxo3d-0_git20230929-r0.apk        25-Oct-2024 19:10              765693
projectm-3.1.12-r2.apk                             25-Oct-2024 19:10              444342
projectm-dev-3.1.12-r2.apk                         25-Oct-2024 19:10              643892
projectm-presets-3.1.12-r2.apk                     25-Oct-2024 19:10             4571035
projectm-pulseaudio-3.1.12-r2.apk                  25-Oct-2024 19:10              417873
projectm-pulseaudio-doc-3.1.12-r2.apk              25-Oct-2024 19:10                2053
projectm-sdl-3.1.12-r2.apk                         25-Oct-2024 19:10              326028
projectsandcastle-loader-0_git20200307-r1.apk      25-Oct-2024 19:10                5092
prometheus-ceph-exporter-4.2.5-r7.apk              06-Sep-2025 16:20             3590794
prometheus-ceph-exporter-openrc-4.2.5-r7.apk       06-Sep-2025 16:20                1941
prometheus-ipmi-exporter-1.8.0-r5.apk              13-May-2025 22:00             4285940
prometheus-ipmi-exporter-doc-1.8.0-r5.apk          13-May-2025 22:00                6767
prometheus-ipmi-exporter-openrc-1.8.0-r5.apk       13-May-2025 22:00                1994
prometheus-opnsense-exporter-0.0.11-r0.apk         18-Sep-2025 02:01             4787410
prometheus-opnsense-exporter-openrc-0.0.11-r0.apk  18-Sep-2025 02:01                2147
prometheus-podman-exporter-1.18.1-r0.apk           16-Sep-2025 08:11            14775652
prometheus-rethinkdb-exporter-1.0.1-r31.apk        06-Sep-2025 16:20             4182925
prometheus-rethinkdb-exporter-openrc-1.0.1-r31.apk 06-Sep-2025 16:20                1708
prometheus-smartctl-exporter-0.14.0-r3.apk         06-Sep-2025 16:20             4641106
prometheus-smartctl-exporter-openrc-0.14.0-r3.apk  06-Sep-2025 16:20                1939
prometheus-smtp2go-exporter-0.1.1-r2.apk           06-Sep-2025 16:20             3431607
prometheus-smtp2go-exporter-openrc-0.1.1-r2.apk    06-Sep-2025 16:20                2020
prometheus-unbound-exporter-0.4.6-r5.apk           13-May-2025 22:00             3656102
prometheus-unbound-exporter-openrc-0.4.6-r5.apk    13-May-2025 22:00                2008
proot-5.4.0-r1.apk                                 25-Oct-2024 19:10               73858
proot-doc-5.4.0-r1.apk                             25-Oct-2024 19:10               10546
proot-static-5.4.0-r1.apk                          25-Oct-2024 19:10              121630
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk       25-Oct-2024 19:10                2880
prosody-mod-auth_pam-0.11_hg20201208-r0.apk        25-Oct-2024 19:10                1848
prosody-mod-auth_sql-0.11_hg20201208-r0.apk        25-Oct-2024 19:10                2702
prosody-mod-block_registrations-0.11_hg20201208..> 25-Oct-2024 19:10                1805
prosody-mod-bookmarks-0.11_hg20201208-r0.apk       25-Oct-2024 19:10                3183
prosody-mod-broadcast-0.11_hg20201208-r0.apk       25-Oct-2024 19:10                1890
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r..> 25-Oct-2024 19:10                2050
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk    25-Oct-2024 19:10                7387
prosody-mod-conversejs-0.11_hg20201208-r0.apk      25-Oct-2024 19:10                3342
prosody-mod-host_guard-0.11_hg20201208-r0.apk      25-Oct-2024 19:10                2896
prosody-mod-http_upload_external-0.11_hg2020120..> 25-Oct-2024 19:10                2958
prosody-mod-ipcheck-0.11_hg20201208-r0.apk         25-Oct-2024 19:10                2003
prosody-mod-log_auth-0.11_hg20201208-r0.apk        25-Oct-2024 19:10                1803
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk 25-Oct-2024 19:10                2234
prosody-mod-mam-0.11_hg20201208-r0.apk             25-Oct-2024 19:10                6024
prosody-mod-mam_muc-0.11_hg20201208-r0.apk         25-Oct-2024 19:10                5684
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0..> 25-Oct-2024 19:10                7024
prosody-mod-pastebin-0.11_hg20201208-r0.apk        25-Oct-2024 19:10                3805
prosody-mod-register_json-0.11_hg20201208-r0.apk   25-Oct-2024 19:10              105987
prosody-mod-register_redirect-0.11_hg20201208-r..> 25-Oct-2024 19:10                2770
prosody-mod-reload_modules-0.11_hg20201208-r0.apk  25-Oct-2024 19:10                2045
prosody-mod-require_otr-0.11_hg20201208-r0.apk     25-Oct-2024 19:10                1748
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0..> 25-Oct-2024 19:10                2061
prosody-mod-saslname-0.11_hg20201208-r0.apk        25-Oct-2024 19:10                1645
prosody-mod-server_status-0.11_hg20201208-r0.apk   25-Oct-2024 19:10                2842
prosody-mod-smacks-0.11_hg20201208-r0.apk          25-Oct-2024 19:10                8785
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk  25-Oct-2024 19:10                2082
prosody-mod-support_contact-0.11_hg20201208-r0.apk 25-Oct-2024 19:10                2071
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk       25-Oct-2024 19:10                2722
prosody-mod-webpresence-0.11_hg20201208-r0.apk     25-Oct-2024 19:10                2698
prosody-modules-0.11_hg20201208-r0.apk             25-Oct-2024 19:10                1485
protoc-gen-go-1.36.6-r3.apk                        06-Sep-2025 16:20             2267169
protoc-gen-js-3.21.4-r1.apk                        25-Oct-2024 19:10             1536360
protoconf-0.1.7-r16.apk                            06-Sep-2025 16:20             7587290
prowlarr-2.0.5.5160-r0.apk                         24-Aug-2025 13:43            19166167
prowlarr-openrc-2.0.5.5160-r0.apk                  24-Aug-2025 13:43                2076
psftools-1.1.2-r0.apk                              25-Oct-2024 19:10              347067
psftools-dev-1.1.2-r0.apk                          25-Oct-2024 19:10               81304
psftools-doc-1.1.2-r0.apk                          25-Oct-2024 19:10               61077
psi-notify-1.3.1-r0.apk                            25-Oct-2024 19:10               10930
psi-plus-1.5.2096-r0.apk                           30-Sep-2025 12:50             9292230
psi-plus-plugins-1.5.2096-r0.apk                   30-Sep-2025 12:50             1960153
pspp-2.0.1-r0.apk                                  25-Oct-2024 19:10            10210896
pspp-dbg-2.0.1-r0.apk                              25-Oct-2024 19:10             4498354
pspp-doc-2.0.1-r0.apk                              25-Oct-2024 19:10                9229
psst-0_git20240526-r1.apk                          25-Oct-2024 19:10             7617868
ptouch-print-1.7-r0.apk                            13-Sep-2025 14:13               28999
ptouch-print-doc-1.7-r0.apk                        13-Sep-2025 14:13                3206
ptpd-2.3.1-r1.apk                                  25-Oct-2024 19:10              173831
ptpd-doc-2.3.1-r1.apk                              25-Oct-2024 19:10               20768
ptpd-openrc-2.3.1-r1.apk                           25-Oct-2024 19:10                2442
ptylie-0.2-r2.apk                                  13-May-2025 22:00               11868
ptylie-doc-0.2-r2.apk                              13-May-2025 22:00                3234
pug-0.6.2-r2.apk                                   06-Sep-2025 16:20             4091326
pully-1.0.0-r0.apk                                 25-Oct-2024 19:10                2583
pully-openrc-1.0.0-r0.apk                          25-Oct-2024 19:10                1759
pulsar-client-cpp-3.7.1-r0.apk                     12-Jun-2025 15:22             1339829
pulsar-client-cpp-dev-3.7.1-r0.apk                 12-Jun-2025 15:22               66000
pulseview-0.4.2-r8.apk                             25-Oct-2024 19:10              937494
pulseview-doc-0.4.2-r8.apk                         25-Oct-2024 19:10                3747
pulumi-watch-0.1.5-r2.apk                          25-Oct-2024 19:10              825534
pure-data-0.55.2-r0.apk                            30-Jun-2025 07:25             1777728
pure-data-dev-0.55.2-r0.apk                        30-Jun-2025 07:25               53853
pure-data-doc-0.55.2-r0.apk                        30-Jun-2025 07:25             5643326
pure-data-libs-0.55.2-r0.apk                       30-Jun-2025 07:25              665044
purple-facebook-0.9.6-r0.apk                       25-Oct-2024 19:10               77298
purple-hangouts-0_git20200422-r0.apk               25-Oct-2024 19:10              224505
pw-volume-0.5.0-r1.apk                             25-Oct-2024 19:10              316479
pwauth-2.3.11-r2.apk                               25-Oct-2024 19:10                3961
pwauth-doc-2.3.11-r2.apk                           25-Oct-2024 19:10                6962
pwru-1.0.7-r8.apk                                  06-Sep-2025 16:20             3331638
pxalarm-3.0.0-r0.apk                               25-Oct-2024 19:10                2948
pxmenu-1.0.0-r1.apk                                25-Oct-2024 19:10                2947
py-spy-0.3.14-r3.apk                               25-Oct-2024 19:10              903994
py-spy-bash-completion-0.3.14-r3.apk               25-Oct-2024 19:10                2395
py-spy-doc-0.3.14-r3.apk                           25-Oct-2024 19:10                2327
py-spy-fish-completion-0.3.14-r3.apk               25-Oct-2024 19:10                2633
py-spy-zsh-completion-0.3.14-r3.apk                25-Oct-2024 19:10                3102
py3-actdiag-3.0.0-r5.apk                           25-Oct-2024 19:10               17685
py3-actdiag-pyc-3.0.0-r5.apk                       25-Oct-2024 19:10               22001
py3-aesedb-0.1.6-r3.apk                            29-May-2025 12:00               36804
py3-aesedb-pyc-0.1.6-r3.apk                        29-May-2025 12:00               76468
py3-agithub-2.2.2-r7.apk                           26-Mar-2025 11:51               19297
py3-agithub-pyc-2.2.2-r7.apk                       26-Mar-2025 11:51               21792
py3-aiodocker-0.21.0-r1.apk                        25-Oct-2024 19:10               30019
py3-aiodocker-pyc-0.21.0-r1.apk                    25-Oct-2024 19:10               61835
py3-aiohttp-debugtoolbar-0.6.1-r2.apk              25-Oct-2024 19:10              456617
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk          25-Oct-2024 19:10               52415
py3-aiohttp-jinja2-1.6-r2.apk                      25-Oct-2024 19:10               12683
py3-aiohttp-jinja2-pyc-1.6-r2.apk                  25-Oct-2024 19:10                9451
py3-aiohttp-remotes-1.3.0-r0.apk                   04-Nov-2024 12:28               10262
py3-aiohttp-remotes-pyc-1.3.0-r0.apk               04-Nov-2024 12:28               19311
py3-aiohttp-session-2.12.1-r0.apk                  25-Oct-2024 19:10               10684
py3-aiohttp-session-pyc-2.12.1-r0.apk              25-Oct-2024 19:10               15147
py3-aioopenssl-0.6.0-r4.apk                        25-Oct-2024 19:10               21319
py3-aioopenssl-pyc-0.6.0-r4.apk                    25-Oct-2024 19:10               19594
py3-aiosasl-0.5.0-r4.apk                           25-Oct-2024 19:10               30294
py3-aiosasl-doc-0.5.0-r4.apk                       25-Oct-2024 19:10               16850
py3-aiosasl-pyc-0.5.0-r4.apk                       25-Oct-2024 19:10               24500
py3-aiosmb-0.4.13-r0.apk                           28-Jul-2025 21:41              625656
py3-aiosmb-pyc-0.4.13-r0.apk                       28-Jul-2025 21:41             1167605
py3-aiowinreg-0.0.12-r1.apk                        29-May-2025 12:00               22635
py3-aiowinreg-pyc-0.0.12-r1.apk                    29-May-2025 12:00               45657
py3-aioxmpp-0.13.3-r3.apk                          25-Oct-2024 19:10              396983
py3-aioxmpp-doc-0.13.3-r3.apk                      25-Oct-2024 19:10               18778
py3-aioxmpp-pyc-0.13.3-r3.apk                      25-Oct-2024 19:10              689276
py3-allfiles-1.0-r8.apk                            25-Oct-2024 19:10                3654
py3-allfiles-pyc-1.0-r8.apk                        25-Oct-2024 19:10                3344
py3-altgraph-0.17.4-r1.apk                         25-Oct-2024 19:10               21222
py3-altgraph-pyc-0.17.4-r1.apk                     25-Oct-2024 19:10               29834
py3-ansi2html-1.9.2-r0.apk                         25-Oct-2024 19:10               18065
py3-ansi2html-pyc-1.9.2-r0.apk                     25-Oct-2024 19:10               22409
py3-ansible-pylibssh-1.2.2-r0.apk                  23-Jul-2025 04:04              249002
py3-anyascii-0.3.2-r1.apk                          25-Oct-2024 19:10              281319
py3-anyascii-pyc-0.3.2-r1.apk                      25-Oct-2024 19:10                3411
py3-apicula-0.11.1-r1.apk                          25-Oct-2024 19:10             8891837
py3-apicula-pyc-0.11.1-r1.apk                      25-Oct-2024 19:10              183787
py3-apio-0.9.5-r0.apk                              25-Oct-2024 19:10               74095
py3-apio-pyc-0.9.5-r0.apk                          25-Oct-2024 19:10               79053
py3-apsw-3.50.4.0-r0.apk                           14-Aug-2025 07:58              874556
py3-apsw-pyc-3.50.4.0-r0.apk                       14-Aug-2025 07:58              568621
py3-apt-2.9.9-r0.apk                               14-Jul-2025 07:46              176295
py3-apt-lang-2.9.9-r0.apk                          14-Jul-2025 07:46               81414
py3-apt-pyc-2.9.9-r0.apk                           14-Jul-2025 07:46              121873
py3-arcus-5.3.0-r5.apk                             12-Jun-2025 15:22               88730
py3-asif-0.3.2-r3.apk                              25-Oct-2024 19:10               13665
py3-asif-pyc-0.3.2-r3.apk                          25-Oct-2024 19:10               26506
py3-ask-0.0.8-r8.apk                               25-Oct-2024 19:10                5122
py3-ask-pyc-0.0.8-r8.apk                           25-Oct-2024 19:10                4582
py3-astral-3.2-r3.apk                              25-Oct-2024 19:10               37916
py3-astral-pyc-3.2-r3.apk                          25-Oct-2024 19:10               60336
py3-asyauth-0.0.22-r0.apk                          28-Jul-2025 21:41               80096
py3-asyauth-pyc-0.0.22-r0.apk                      28-Jul-2025 21:41              176071
py3-asysocks-0.2.17-r0.apk                         28-Jul-2025 21:41               96243
py3-asysocks-pyc-0.2.17-r0.apk                     28-Jul-2025 21:41              265497
py3-avro-1.11.3-r1.apk                             25-Oct-2024 19:10              100016
py3-avro-pyc-1.11.3-r1.apk                         25-Oct-2024 19:10              195955
py3-b2sdk-2.8.1-r0.apk                             03-May-2025 20:03              220074
py3-b2sdk-pyc-2.8.1-r0.apk                         03-May-2025 20:03              412299
py3-banal-1.0.6-r4.apk                             25-Oct-2024 19:10                7043
py3-banal-pyc-1.0.6-r4.apk                         25-Oct-2024 19:10                7384
py3-bandwidth-sdk-3.1.0-r8.apk                     25-Oct-2024 19:10               47105
py3-bandwidth-sdk-pyc-3.1.0-r8.apk                 25-Oct-2024 19:10               70852
py3-barcodenumber-0.2.1-r10.apk                    25-Oct-2024 19:10               16719
py3-barcodenumber-pyc-0.2.1-r10.apk                25-Oct-2024 19:10                4364
py3-base58-2.1.1-r2.apk                            25-Oct-2024 19:10               11357
py3-beartype-0.21.0-r0.apk                         25-May-2025 13:46              948658
py3-beartype-pyc-0.21.0-r0.apk                     25-May-2025 13:46              688796
py3-bencode-4.0.0-r1.apk                           25-Oct-2024 19:10               17501
py3-bencode-pyc-4.0.0-r1.apk                       25-Oct-2024 19:10               10714
py3-bibtexparser-1.4.3-r0.apk                      26-Jan-2025 06:27               41199
py3-bibtexparser-pyc-1.4.3-r0.apk                  26-Jan-2025 06:27               49955
py3-bidict-0.23.1-r1.apk                           25-Oct-2024 19:10               28384
py3-bidict-pyc-0.23.1-r1.apk                       25-Oct-2024 19:10               29452
py3-binson-python-0.0.1-r0.apk                     26-Aug-2025 18:04                8930
py3-binson-python-pyc-0.0.1-r0.apk                 26-Aug-2025 18:04               13931
py3-bite-parser-0.2.5-r0.apk                       28-Oct-2024 21:51               13966
py3-bite-parser-pyc-0.2.5-r0.apk                   28-Oct-2024 21:51               24057
py3-bitstruct-8.19.0-r1.apk                        25-Oct-2024 19:10               36404
py3-bitstruct-pyc-8.19.0-r1.apk                    25-Oct-2024 19:10               13090
py3-bleak-0.22.3-r0.apk                            25-Oct-2024 19:10              378689
py3-blockchain-1.4.4-r7.apk                        25-Oct-2024 19:10               11234
py3-blockchain-pyc-1.4.4-r7.apk                    25-Oct-2024 19:10               18289
py3-blockdiag-3.0.0-r6.apk                         10-Jan-2025 16:19               69655
py3-blockdiag-pyc-3.0.0-r6.apk                     10-Jan-2025 16:19              152404
py3-blockdiag-tests-3.0.0-r6.apk                   10-Jan-2025 16:19             2627088
py3-bookkeeper-4.17.2-r0.apk                       13-Jul-2025 21:43               44041
py3-bookkeeper-pyc-4.17.2-r0.apk                   13-Jul-2025 21:43               68289
py3-bottle-api-0.0.4-r7.apk                        25-Oct-2024 19:10                4991
py3-bottle-api-pyc-0.0.4-r7.apk                    25-Oct-2024 19:10                5342
py3-bottle-pgsql-0.2-r5.apk                        25-Oct-2024 19:10                4375
py3-bottle-redis-0.2.3-r6.apk                      25-Oct-2024 19:10                3380
py3-bottle-redis-pyc-0.2.3-r6.apk                  25-Oct-2024 19:10                3203
py3-bottle-renderer-0.1.1-r9.apk                   25-Oct-2024 19:10                4080
py3-bottle-renderer-pyc-0.1.1-r9.apk               25-Oct-2024 19:10                3825
py3-bottle-request-0.2.0-r9.apk                    25-Oct-2024 19:10                3288
py3-bottle-request-pyc-0.2.0-r9.apk                25-Oct-2024 19:10                2660
py3-bottle-rest-0.6.0-r1.apk                       25-Oct-2024 19:10                6256
py3-bottle-rest-pyc-0.6.0-r1.apk                   25-Oct-2024 19:10                5301
py3-bottle-session-1.0-r6.apk                      25-Oct-2024 19:10               10442
py3-bottle-session-pyc-1.0-r6.apk                  25-Oct-2024 19:10                7982
py3-bottle-sqlalchemy-0.4.3-r8.apk                 25-Oct-2024 19:10                4966
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk             25-Oct-2024 19:10                5770
py3-bottle-sqlite-0.2.0-r7.apk                     25-Oct-2024 19:10                4811
py3-bottle-sqlite-pyc-0.2.0-r7.apk                 25-Oct-2024 19:10                5364
py3-bottle-websocket-0.2.9-r8.apk                  25-Oct-2024 19:10                4752
py3-bottle-websocket-pyc-0.2.9-r8.apk              25-Oct-2024 19:10                3210
py3-bottle-werkzeug-0.1.1-r9.apk                   25-Oct-2024 19:10                4192
py3-bottle-werkzeug-pyc-0.1.1-r9.apk               25-Oct-2024 19:10                4354
py3-bson-0.5.10-r6.apk                             25-Oct-2024 19:10               11973
py3-bson-pyc-0.5.10-r6.apk                         25-Oct-2024 19:10               18948
py3-businesstime-0.3.0-r9.apk                      25-Oct-2024 19:10               10890
py3-businesstime-pyc-0.3.0-r9.apk                  25-Oct-2024 19:10               16731
py3-c3d-0.5.2-r1.apk                               25-Oct-2024 19:10               32847
py3-c3d-pyc-0.5.2-r1.apk                           25-Oct-2024 19:10               54990
py3-caldav-2.0.1-r0.apk                            26-Jun-2025 14:01               89731
py3-caldav-pyc-2.0.1-r0.apk                        26-Jun-2025 14:01              120378
py3-cassandra-driver-3.29.2-r0.apk                 25-Oct-2024 19:10              292705
py3-cassandra-driver-pyc-3.29.2-r0.apk             25-Oct-2024 19:10              573389
py3-catkin-pkg-0.5.2-r4.apk                        25-Oct-2024 19:10               58519
py3-catkin-pkg-pyc-0.5.2-r4.apk                    25-Oct-2024 19:10              105098
py3-cchardet-2.1.7-r5.apk                          25-Oct-2024 19:10              126250
py3-cchardet-pyc-2.1.7-r5.apk                      25-Oct-2024 19:10                3126
py3-cdio-2.1.1-r6.apk                              26-Jan-2025 06:27              101994
py3-cdio-pyc-2.1.1-r6.apk                          26-Jan-2025 06:27               44183
py3-certauth-1.3.0-r1.apk                          25-Oct-2024 19:10                8918
py3-certauth-pyc-1.3.0-r1.apk                      25-Oct-2024 19:10                9307
py3-chameleon-4.6.0-r0.apk                         06-Jul-2025 09:16               99477
py3-chameleon-pyc-4.6.0-r0.apk                     06-Jul-2025 09:16              133765
py3-ciso8601-2.3.2-r0.apk                          03-Aug-2025 09:57               16776
py3-cjkwrap-2.2-r6.apk                             14-May-2025 19:18                4625
py3-cjkwrap-pyc-2.2-r6.apk                         14-May-2025 19:18                5377
py3-clang-next-22.0.0_pre20250926-r0.apk           27-Sep-2025 11:40               34972
py3-clang-next-pyc-22.0.0_pre20250926-r0.apk       27-Sep-2025 11:40               62442
py3-class-doc-1.25-r1.apk                          25-Oct-2024 19:10                6204
py3-class-doc-pyc-1.25-r1.apk                      25-Oct-2024 19:10                8921
py3-click-completion-0.5.2-r1.apk                  25-Oct-2024 19:10               11052
py3-click-completion-pyc-0.5.2-r1.apk              25-Oct-2024 19:10               14587
py3-click-default-group-1.2.4-r1.apk               25-Oct-2024 19:10                5271
py3-click-default-group-pyc-1.2.4-r1.apk           25-Oct-2024 19:10                4636
py3-click-threading-0.5.0-r5.apk                   25-Oct-2024 19:10                6499
py3-click-threading-pyc-0.5.0-r5.apk               25-Oct-2024 19:10                8045
py3-clickclick-20.10.2-r4.apk                      25-Oct-2024 19:10                8140
py3-clickclick-pyc-20.10.2-r4.apk                  25-Oct-2024 19:10               10008
py3-cmd2-2.4.3-r2.apk                              25-Oct-2024 19:10              142765
py3-cmd2-pyc-2.4.3-r2.apk                          25-Oct-2024 19:10              227956
py3-cobs-1.2.0-r4.apk                              25-Oct-2024 19:10               21272
py3-cobs-pyc-1.2.0-r4.apk                          25-Oct-2024 19:10               12753
py3-colander-2.0-r2.apk                            25-Oct-2024 19:10               63969
py3-colander-pyc-2.0-r2.apk                        25-Oct-2024 19:10               43502
py3-colorthief-0.2.1-r1.apk                        25-Oct-2024 19:10                7488
py3-colorthief-pyc-0.2.1-r1.apk                    25-Oct-2024 19:10               10292
py3-columnize-0.3.11-r4.apk                        25-Oct-2024 19:10                8730
py3-columnize-pyc-0.3.11-r4.apk                    25-Oct-2024 19:10                7662
py3-compdb-0.2.0-r8.apk                            25-Oct-2024 19:10               23863
py3-compdb-doc-0.2.0-r8.apk                        25-Oct-2024 19:10                3115
py3-compdb-pyc-0.2.0-r8.apk                        25-Oct-2024 19:10               40577
py3-confluent-kafka-2.9.0-r0.apk                   15-May-2025 10:05              188704
py3-confluent-kafka-pyc-2.9.0-r0.apk               15-May-2025 10:05              232269
py3-confusable-homoglyphs-3.3.1-r0.apk             26-Sep-2025 12:14              140435
py3-confusable-homoglyphs-pyc-3.3.1-r0.apk         26-Sep-2025 12:14                9589
py3-cookiecutter-2.6.0-r1.apk                      25-Oct-2024 19:10               36223
py3-cookiecutter-doc-2.6.0-r1.apk                  25-Oct-2024 19:10                3815
py3-cookiecutter-pyc-2.6.0-r1.apk                  25-Oct-2024 19:10               48636
py3-coreapi-2.3.3-r9.apk                           25-Oct-2024 19:10               22762
py3-coreapi-pyc-2.3.3-r9.apk                       25-Oct-2024 19:10               44306
py3-crc16-0.1.1-r10.apk                            25-Oct-2024 19:10               12961
py3-crc16-pyc-0.1.1-r10.apk                        25-Oct-2024 19:10                4815
py3-createrepo_c-1.1.4-r0.apk                      25-Oct-2024 19:10               41945
py3-createrepo_c-pyc-1.1.4-r0.apk                  25-Oct-2024 19:10               14863
py3-croniter-6.0.0-r0.apk                          25-Aug-2025 09:05               26422
py3-croniter-pyc-6.0.0-r0.apk                      25-Aug-2025 09:05               26200
py3-cryptg-0.5.0-r0.apk                            08-May-2025 12:22              172026
py3-cryptg-pyc-0.5.0-r0.apk                        08-May-2025 12:22                1955
py3-cssutils-2.11.1-r1.apk                         25-Oct-2024 19:10              158926
py3-cssutils-pyc-2.11.1-r1.apk                     25-Oct-2024 19:10              285335
py3-cstruct-6.1-r0.apk                             21-Aug-2025 23:06               23731
py3-cstruct-pyc-6.1-r0.apk                         21-Aug-2025 23:06               38532
py3-cucumber-tag-expressions-6.1.1-r0.apk          01-Dec-2024 20:13                8875
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk      01-Dec-2024 20:13               10675
py3-cvxpy-1.2.1-r5.apk                             25-Oct-2024 19:10              672021
py3-cvxpy-pyc-1.2.1-r5.apk                         25-Oct-2024 19:10              958548
py3-cython-test-exception-raiser-1.0.2-r0.apk      25-Oct-2024 19:10               18382
py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk  25-Oct-2024 19:10                1872
py3-dash-2.18.2-r0.apk                             13-Apr-2025 20:28             7792562
py3-dash-bootstrap-components-1.6.0-r0.apk         13-Apr-2025 20:28               16883
py3-dataclasses-json-0.6.7-r0.apk                  25-Oct-2024 19:10               28066
py3-dataclasses-json-pyc-0.6.7-r0.apk              25-Oct-2024 19:10               36511
py3-dataclasses-serialization-1.3.1-r3.apk         25-Oct-2024 19:10               11222
py3-dataclasses-serialization-pyc-1.3.1-r3.apk     25-Oct-2024 19:10               14615
py3-dateparser-1.2.2-r0.apk                        06-Jul-2025 19:46              221410
py3-dateparser-pyc-1.2.2-r0.apk                    06-Jul-2025 19:46              343239
py3-daterangestr-0.0.3-r8.apk                      25-Oct-2024 19:10                4458
py3-daterangestr-pyc-0.0.3-r8.apk                  25-Oct-2024 19:10                4324
py3-dbus-fast-2.44.3-r0.apk                        10-Aug-2025 20:21              834890
py3-dbus-fast-doc-2.44.3-r0.apk                    10-Aug-2025 20:21                6394
py3-dbus-fast-pyc-2.44.3-r0.apk                    10-Aug-2025 20:21              131945
py3-deluge-client-1.10.2-r0.apk                    25-Oct-2024 19:10               13203
py3-deluge-client-doc-1.10.2-r0.apk                25-Oct-2024 19:10                2309
py3-deluge-client-pyc-1.10.2-r0.apk                25-Oct-2024 19:10               20125
py3-dexml-0.5.1-r9.apk                             25-Oct-2024 19:10               22744
py3-dexml-pyc-0.5.1-r9.apk                         25-Oct-2024 19:10               38391
py3-discid-1.3.0-r0.apk                            28-Jul-2025 21:41               12835
py3-discid-pyc-1.3.0-r0.apk                        28-Jul-2025 21:41               13788
py3-distorm3-3.5.2-r6.apk                          25-Oct-2024 19:10               48049
py3-distorm3-pyc-3.5.2-r6.apk                      25-Oct-2024 19:10               49822
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk 25-Oct-2024 19:10               15007
py3-django-compress-staticfiles-pyc-1.0.1_beta0..> 25-Oct-2024 19:10               15265
py3-django-suit-0.2.28-r8.apk                      25-Oct-2024 19:10              374543
py3-django-suit-pyc-0.2.28-r8.apk                  25-Oct-2024 19:10               33278
py3-django-taggit-serializer-0.1.7-r8.apk          25-Oct-2024 19:10                4122
py3-django-taggit-serializer-pyc-0.1.7-r8.apk      25-Oct-2024 19:10                5076
py3-dnslib-0.9.26-r0.apk                           01-Sep-2025 18:15               57749
py3-dnslib-pyc-0.9.26-r0.apk                       01-Sep-2025 18:15              111688
py3-dogpile.cache-1.3.3-r1.apk                     14-May-2025 18:17               53839
py3-dogpile.cache-pyc-1.3.3-r1.apk                 14-May-2025 18:17               92651
py3-doi-0.2-r0.apk                                 13-Apr-2025 20:28                6313
py3-doi-pyc-0.2-r0.apk                             13-Apr-2025 20:28                4859
py3-doit-0.36.0-r5.apk                             25-Oct-2024 19:10               78338
py3-doit-pyc-0.36.0-r5.apk                         25-Oct-2024 19:10              136445
py3-dominate-2.9.1-r1.apk                          25-Oct-2024 19:10               25345
py3-dominate-pyc-2.9.1-r1.apk                      25-Oct-2024 19:10               34541
py3-dotty-dict-1.3.1-r4.apk                        25-Oct-2024 19:10                8597
py3-dotty-dict-pyc-1.3.1-r4.apk                    25-Oct-2024 19:10                8887
py3-downloader-cli-0.3.4-r2.apk                    14-May-2025 18:17               11442
py3-downloader-cli-pyc-0.3.4-r2.apk                14-May-2025 18:17               14464
py3-dpath-2.2.0-r0.apk                             25-Oct-2024 19:10               17434
py3-dpath-pyc-2.2.0-r0.apk                         25-Oct-2024 19:10               18193
py3-drf-yasg-1.21.10-r0.apk                        15-Jul-2025 08:28             4246936
py3-drf-yasg-pyc-1.21.10-r0.apk                    15-Jul-2025 08:28              100581
py3-dunamai-1.25.0-r0.apk                          25-Jul-2025 22:23               27502
py3-dunamai-pyc-1.25.0-r0.apk                      25-Jul-2025 22:23               44900
py3-duniterpy-1.1.1-r3.apk                         25-Oct-2024 19:10              226634
py3-dweepy-0.3.0-r7.apk                            25-Oct-2024 19:10                9308
py3-dweepy-pyc-0.3.0-r7.apk                        25-Oct-2024 19:10                6409
py3-ecbdata-0.1.1-r0.apk                           13-Apr-2025 23:33               13793
py3-ecos-2.0.11-r4.apk                             25-Oct-2024 19:10               28400
py3-ecos-pyc-2.0.11-r4.apk                         25-Oct-2024 19:10                3719
py3-edalize-0.5.4-r0.apk                           25-Oct-2024 19:10              125541
py3-edalize-pyc-0.5.4-r0.apk                       25-Oct-2024 19:10              194736
py3-editdistance-s-1.0.0-r6.apk                    25-Oct-2024 19:10               14864
py3-editdistance-s-pyc-1.0.0-r6.apk                25-Oct-2024 19:10                2075
py3-empy-3.3.4-r7.apk                              25-Oct-2024 19:10               40263
py3-empy-pyc-3.3.4-r7.apk                          25-Oct-2024 19:10               59898
py3-engineio-4.12.2-r0.apk                         06-Sep-2025 16:20               49851
py3-engineio-doc-4.12.2-r0.apk                     06-Sep-2025 16:20               34209
py3-engineio-pyc-4.12.2-r0.apk                     06-Sep-2025 16:20              106424
py3-enlighten-1.14.1-r0.apk                        28-Aug-2025 16:59               37790
py3-enlighten-pyc-1.14.1-r0.apk                    28-Aug-2025 16:59               47518
py3-enzyme-0.5.2-r0.apk                            12-Jul-2025 16:01               23269
py3-enzyme-pyc-0.5.2-r0.apk                        12-Jul-2025 16:01               19254
py3-eradicate-2.3.0-r2.apk                         25-Oct-2024 19:10                7747
py3-eradicate-doc-2.3.0-r2.apk                     25-Oct-2024 19:10                2583
py3-eradicate-pyc-2.3.0-r2.apk                     25-Oct-2024 19:10                8550
py3-euclid3-0.01-r8.apk                            25-Oct-2024 19:10               14513
py3-euclid3-pyc-0.01-r8.apk                        25-Oct-2024 19:10               33338
py3-evalidate-2.0.5-r0.apk                         25-Aug-2025 09:05                9625
py3-evalidate-pyc-2.0.5-r0.apk                     25-Aug-2025 09:05                6491
py3-eventlet-0.38.1-r0.apk                         11-Dec-2024 21:36              340182
py3-eventlet-pyc-0.38.1-r0.apk                     11-Dec-2024 21:36              343692
py3-evohome-client-0.3.9-r0.apk                    13-Jul-2025 21:33               19280
py3-evohome-client-pyc-0.3.9-r0.apk                13-Jul-2025 21:33               27962
py3-fastavro-1.12.0-r0.apk                         01-Aug-2025 02:39              438484
py3-fastavro-pyc-1.12.0-r0.apk                     01-Aug-2025 02:39               83910
py3-fastdiff-0.3.0-r5.apk                          25-Oct-2024 19:10               39358
py3-fastdiff-pyc-0.3.0-r5.apk                      25-Oct-2024 19:10                4303
py3-feedgen-1.0.0-r1.apk                           25-Oct-2024 19:10               41197
py3-feedgen-pyc-1.0.0-r1.apk                       25-Oct-2024 19:10               63173
py3-feedgenerator-2.1.0-r2.apk                     25-Oct-2024 19:10               18454
py3-feedgenerator-pyc-2.1.0-r2.apk                 25-Oct-2024 19:10               27394
py3-ffmpeg-0.2.0-r5.apk                            14-May-2025 18:17               24198
py3-ffmpeg-pyc-0.2.0-r5.apk                        14-May-2025 18:17               33391
py3-firmata-1.0.3-r10.apk                          25-Oct-2024 19:10               14525
py3-firmata-pyc-1.0.3-r10.apk                      25-Oct-2024 19:10               21377
py3-flake8-blind-except-0.2.1-r4.apk               25-Oct-2024 19:10                5326
py3-flake8-blind-except-pyc-0.2.1-r4.apk           25-Oct-2024 19:10                2652
py3-flake8-builtins-2.5.0-r0.apk                   07-Dec-2024 21:51               13038
py3-flake8-builtins-pyc-2.5.0-r0.apk               07-Dec-2024 21:51                8063
py3-flake8-copyright-0.2.4-r3.apk                  25-Oct-2024 19:10               18683
py3-flake8-copyright-pyc-0.2.4-r3.apk              25-Oct-2024 19:10                3414
py3-flake8-debugger-4.1.2-r4.apk                   25-Oct-2024 19:10                6388
py3-flake8-debugger-pyc-4.1.2-r4.apk               25-Oct-2024 19:10                6107
py3-flake8-import-order-0.18.2-r4.apk              25-Oct-2024 19:10               15776
py3-flake8-import-order-pyc-0.18.2-r4.apk          25-Oct-2024 19:10               17175
py3-flake8-isort-6.1.1-r1.apk                      25-Oct-2024 19:10               18628
py3-flake8-isort-pyc-6.1.1-r1.apk                  25-Oct-2024 19:10                5498
py3-flake8-polyfill-1.0.2-r5.apk                   25-Oct-2024 19:10                7240
py3-flake8-polyfill-pyc-1.0.2-r5.apk               25-Oct-2024 19:10                5830
py3-flake8-print-5.0.0-r5.apk                      25-Oct-2024 19:10                6883
py3-flake8-print-pyc-5.0.0-r5.apk                  25-Oct-2024 19:10                4518
py3-flake8-snippets-0.2-r8.apk                     25-Oct-2024 19:10                5452
py3-flake8-snippets-pyc-0.2-r8.apk                 25-Oct-2024 19:10                3760
py3-flake8-todo-0.7-r7.apk                         25-Oct-2024 19:10                3682
py3-flake8-todo-pyc-0.7-r7.apk                     25-Oct-2024 19:10                2284
py3-flask-accept-0.0.7-r0.apk                      12-Jul-2025 15:27                5711
py3-flask-accept-pyc-0.0.7-r0.apk                  12-Jul-2025 15:27                3769
py3-flask-admin-1.6.1-r3.apk                       25-Oct-2024 19:10             6838819
py3-flask-admin-pyc-1.6.1-r3.apk                   25-Oct-2024 19:10              366896
py3-flask-autorouter-0.2.2-r3.apk                  25-Oct-2024 19:10                5204
py3-flask-autorouter-pyc-0.2.2-r3.apk              25-Oct-2024 19:10                5069
py3-flask-basicauth-0.2.0-r9.apk                   25-Oct-2024 19:10                5467
py3-flask-basicauth-pyc-0.2.0-r9.apk               25-Oct-2024 19:10                4173
py3-flask-bcrypt-1.0.1-r5.apk                      25-Oct-2024 19:10                7314
py3-flask-bcrypt-pyc-1.0.1-r5.apk                  25-Oct-2024 19:10                5941
py3-flask-bootstrap-3.3.7.1-r9.apk                 13-May-2025 22:00              459393
py3-flask-bootstrap-pyc-3.3.7.1-r9.apk             13-May-2025 22:00               11136
py3-flask-cache-0.13.1-r9.apk                      25-Oct-2024 19:10               13081
py3-flask-cache-pyc-0.13.1-r9.apk                  25-Oct-2024 19:10               18718
py3-flask-cdn-1.5.3-r8.apk                         25-Oct-2024 19:10                4875
py3-flask-cdn-pyc-1.5.3-r8.apk                     25-Oct-2024 19:10                4182
py3-flask-components-0.1.1-r9.apk                  25-Oct-2024 19:10                4023
py3-flask-components-pyc-0.1.1-r9.apk              25-Oct-2024 19:10                3386
py3-flask-dbconfig-0.3.12-r8.apk                   25-Oct-2024 19:10               87745
py3-flask-dbconfig-pyc-0.3.12-r8.apk               25-Oct-2024 19:10                6403
py3-flask-flatpages-0.8.3-r0.apk                   06-Dec-2024 22:59               10954
py3-flask-flatpages-pyc-0.8.3-r0.apk               06-Dec-2024 22:59               13979
py3-flask-gzip-0.2-r8.apk                          25-Oct-2024 19:10                3259
py3-flask-gzip-pyc-0.2-r8.apk                      25-Oct-2024 19:10                2892
py3-flask-headers-1.0-r9.apk                       25-Oct-2024 19:10                3282
py3-flask-headers-pyc-1.0-r9.apk                   25-Oct-2024 19:10                2513
py3-flask-httpauth-4.8.0-r2.apk                    25-Oct-2024 19:10                8175
py3-flask-httpauth-pyc-4.8.0-r2.apk                25-Oct-2024 19:10               10844
py3-flask-json-schema-0.0.5-r4.apk                 25-Oct-2024 19:10                4195
py3-flask-json-schema-pyc-0.0.5-r4.apk             25-Oct-2024 19:10                3518
py3-flask-limiter-3.10.1-r0.apk                    26-Jan-2025 06:27               27246
py3-flask-limiter-pyc-3.10.1-r0.apk                26-Jan-2025 06:27               48102
py3-flask-loopback-1.4.7-r7.apk                    25-Oct-2024 19:10                5704
py3-flask-loopback-pyc-1.4.7-r7.apk                25-Oct-2024 19:10                8113
py3-flask-mailman-1.1.1-r0.apk                     25-Oct-2024 19:10               16864
py3-flask-mailman-pyc-1.1.1-r0.apk                 25-Oct-2024 19:10               26356
py3-flask-markdown-0.3-r8.apk                      25-Oct-2024 19:10                5751
py3-flask-markdown-pyc-0.3-r8.apk                  25-Oct-2024 19:10                3950
py3-flask-migrate-4.1.0-r0.apk                     13-Jul-2025 21:53               13630
py3-flask-migrate-pyc-4.1.0-r0.apk                 13-Jul-2025 21:53               18852
py3-flask-paginate-0.8.1-r6.apk                    25-Oct-2024 19:10                8410
py3-flask-paginate-pyc-0.8.1-r6.apk                25-Oct-2024 19:10               11464
py3-flask-peewee-3.0.6-r0.apk                      25-Oct-2024 19:10              176171
py3-flask-peewee-pyc-3.0.6-r0.apk                  25-Oct-2024 19:10               97764
py3-flask-qrcode-3.2.0-r0.apk                      12-Dec-2024 07:39               18462
py3-flask-qrcode-pyc-3.2.0-r0.apk                  12-Dec-2024 07:39                6303
py3-flask-restaction-0.25.3-r8.apk                 25-Oct-2024 19:10              117604
py3-flask-restaction-pyc-0.25.3-r8.apk             25-Oct-2024 19:10               20290
py3-flask-restless-0.17.0-r9.apk                   25-Oct-2024 19:10               41453
py3-flask-restless-pyc-0.17.0-r9.apk               25-Oct-2024 19:10               60481
py3-flask-security-5.6.1-r0.apk                    03-May-2025 20:03              301618
py3-flask-security-pyc-5.6.1-r0.apk                03-May-2025 20:03              232382
py3-flask-socketio-5.5.1-r0.apk                    06-Sep-2025 16:20               18784
py3-flask-socketio-doc-5.5.1-r0.apk                06-Sep-2025 16:20               23571
py3-flask-socketio-pyc-5.5.1-r0.apk                06-Sep-2025 16:20               26569
py3-flask-themer-2.0.0-r2.apk                      25-Oct-2024 19:10                8085
py3-flask-themer-pyc-2.0.0-r2.apk                  25-Oct-2024 19:10                7139
py3-forbiddenfruit-0.1.4-r2.apk                    25-Oct-2024 19:10                9178
py3-forbiddenfruit-pyc-0.1.4-r2.apk                25-Oct-2024 19:10                9891
py3-fpdf-1.7.2-r5.apk                              25-Oct-2024 19:10               40667
py3-fpdf-pyc-1.7.2-r5.apk                          25-Oct-2024 19:10               91319
py3-freetype-py-2.5.1-r0.apk                       25-Oct-2024 19:10              164805
py3-furl-2.1.4-r0.apk                              13-Jul-2025 21:52               28190
py3-furl-pyc-2.1.4-r0.apk                          13-Jul-2025 21:52               33262
py3-gdcm-3.2.1-r0.apk                              22-Sep-2025 22:55              673086
py3-geoip-1.3.2-r4.apk                             25-Oct-2024 19:10               23093
py3-gevent-websocket-0.10.1-r8.apk                 25-Oct-2024 19:10               20215
py3-gevent-websocket-pyc-0.10.1-r8.apk             25-Oct-2024 19:10               31090
py3-git-versioner-7.1-r1.apk                       25-Oct-2024 19:10               12251
py3-git-versioner-pyc-7.1-r1.apk                   25-Oct-2024 19:10               13838
py3-github3-4.0.1-r1.apk                           25-Oct-2024 19:10              131343
py3-github3-pyc-4.0.1-r1.apk                       25-Oct-2024 19:10              232426
py3-glob2-0.7-r6.apk                               25-Oct-2024 19:10               10514
py3-glob2-pyc-0.7-r6.apk                           25-Oct-2024 19:10               13222
py3-gls-1.3.1-r1.apk                               25-Oct-2024 19:10               47892
py3-gls-pyc-1.3.1-r1.apk                           25-Oct-2024 19:10               86153
py3-google-trans-new-1.1.9-r2.apk                  25-Oct-2024 19:10                9452
py3-google-trans-new-pyc-1.1.9-r2.apk              25-Oct-2024 19:10               10833
py3-googletrans-3.0.0-r5.apk                       25-Oct-2024 19:10               15848
py3-googletrans-pyc-3.0.0-r5.apk                   25-Oct-2024 19:10               17843
py3-grequests-0.7.0-r3.apk                         14-May-2025 18:17                6883
py3-grequests-pyc-0.7.0-r3.apk                     14-May-2025 18:17                5982
py3-gtkspellcheck-5.0.3-r1.apk                     10-Aug-2025 01:01               45858
py3-gtkspellcheck-pyc-5.0.3-r1.apk                 10-Aug-2025 01:01               30329
py3-halo-0.0.31-r5.apk                             25-Oct-2024 19:10               11739
py3-halo-pyc-0.0.31-r5.apk                         25-Oct-2024 19:10               14255
py3-hatch-openzim-0.2.0-r0.apk                     25-Oct-2024 19:10               25098
py3-hatch-openzim-bootstrap-0.1.0-r0.apk           25-Oct-2024 19:10               24761
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk       25-Oct-2024 19:10               12992
py3-hatch-openzim-pyc-0.2.0-r0.apk                 25-Oct-2024 19:10               13307
py3-helper-2.5.0-r5.apk                            25-Oct-2024 19:10               19224
py3-helper-pyc-2.5.0-r5.apk                        25-Oct-2024 19:10               28853
py3-hfst-3.16.2-r0.apk                             02-Apr-2025 17:03              360610
py3-hg-git-1.1.1-r1.apk                            25-Oct-2024 19:10               71778
py3-hg-git-pyc-1.1.1-r1.apk                        25-Oct-2024 19:10              109355
py3-highctidh-1.0.2024092800-r0.apk                25-Nov-2024 20:23              385306
py3-highctidh-pyc-1.0.2024092800-r0.apk            25-Nov-2024 20:23               11857
py3-himitsu-0.0.9-r0.apk                           25-Aug-2025 10:57                5950
py3-himitsu-pyc-0.0.9-r0.apk                       25-Aug-2025 10:57                7726
py3-hishel-0.1.3-r0.apk                            08-Jul-2025 23:15               34045
py3-hishel-pyc-0.1.3-r0.apk                        08-Jul-2025 23:15               74958
py3-html5-parser-0.4.12-r1.apk                     25-Oct-2024 19:10              170800
py3-html5-parser-pyc-0.4.12-r1.apk                 25-Oct-2024 19:10               22808
py3-hurry.filesize-0.9-r8.apk                      25-Oct-2024 19:10                4722
py3-hurry.filesize-pyc-0.9-r8.apk                  25-Oct-2024 19:10                3333
py3-hypercorn-0.17.3-r0.apk                        23-Jul-2025 06:34               48543
py3-hypercorn-pyc-0.17.3-r0.apk                    23-Jul-2025 06:34              123157
py3-igraph-0.11.9-r0.apk                           11-Jun-2025 20:19              410369
py3-igraph-dev-0.11.9-r0.apk                       11-Jun-2025 20:19                2657
py3-igraph-pyc-0.11.9-r0.apk                       11-Jun-2025 20:19              379766
py3-imageio-2.37.0-r0.apk                          12-Jul-2025 06:30              292328
py3-imageio-ffmpeg-0.4.9-r1.apk                    25-Oct-2024 19:10               16884
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk                25-Oct-2024 19:10               20753
py3-imageio-pyc-2.37.0-r0.apk                      12-Jul-2025 06:30              515900
py3-imdbpy-2021.4.18-r5.apk                        25-Oct-2024 19:10              234496
py3-imdbpy-pyc-2021.4.18-r5.apk                    25-Oct-2024 19:10              248405
py3-incoming-0.3.1-r8.apk                          25-Oct-2024 19:10               13137
py3-incoming-pyc-0.3.1-r8.apk                      25-Oct-2024 19:10               20425
py3-infinity-1.5-r6.apk                            25-Oct-2024 19:10                4488
py3-infinity-pyc-1.5-r6.apk                        25-Oct-2024 19:10                3831
py3-iniparse-0.5-r7.apk                            25-Oct-2024 19:10               19140
py3-iniparse-doc-0.5-r7.apk                        25-Oct-2024 19:10               10589
py3-iniparse-pyc-0.5-r7.apk                        25-Oct-2024 19:10               25212
py3-intervals-0.9.2-r5.apk                         25-Oct-2024 19:10                9676
py3-intervals-pyc-0.9.2-r5.apk                     25-Oct-2024 19:10               15531
py3-ioctl-opt-1.3-r0.apk                           27-Jan-2025 21:37               11902
py3-ioctl-opt-pyc-1.3-r0.apk                       27-Jan-2025 21:37                4760
py3-irc-20.4.1-r0.apk                              25-Oct-2024 19:10               41879
py3-irc-pyc-20.4.1-r0.apk                          25-Oct-2024 19:10               72699
py3-isbnlib-3.10.14-r0.apk                         26-Jan-2025 06:27               43685
py3-isbnlib-pyc-3.10.14-r0.apk                     26-Jan-2025 06:27               68285
py3-iso639-lang-2.2.3-r0.apk                       25-Oct-2024 19:10              275440
py3-iso639-lang-pyc-2.2.3-r0.apk                   25-Oct-2024 19:10                9931
py3-itemadapter-0.10.0-r0.apk                      30-Nov-2024 20:42               11519
py3-itemadapter-pyc-0.10.0-r0.apk                  30-Nov-2024 20:42               12975
py3-itemloaders-1.3.2-r1.apk                       10-Aug-2025 01:01               12597
py3-itemloaders-pyc-1.3.2-r1.apk                   10-Aug-2025 01:01               16905
py3-iterable-io-1.0.0-r0.apk                       25-Oct-2024 19:10                6185
py3-iterable-io-pyc-1.0.0-r0.apk                   25-Oct-2024 19:10                5378
py3-itunespy-1.6-r5.apk                            14-May-2025 18:17                9971
py3-itunespy-pyc-1.6-r5.apk                        14-May-2025 18:17               14948
py3-janus-1.2.0-r0.apk                             13-Dec-2024 04:57               12655
py3-janus-pyc-1.2.0-r0.apk                         13-Dec-2024 04:57               13656
py3-jaraco.logging-3.4.0-r0.apk                    17-Jun-2025 22:15                5444
py3-jaraco.logging-pyc-3.4.0-r0.apk                17-Jun-2025 22:15                6261
py3-jaraco.path-3.7.2-r0.apk                       25-Oct-2024 19:10                7804
py3-jaraco.path-pyc-3.7.2-r0.apk                   25-Oct-2024 19:10                9752
py3-jaraco.stream-3.0.4-r0.apk                     14-Dec-2024 22:49                6873
py3-jaraco.stream-pyc-3.0.4-r0.apk                 14-Dec-2024 22:49                8270
py3-jaraco.vcs-2.4.1-r0.apk                        11-Mar-2025 03:55               10436
py3-jaraco.vcs-pyc-2.4.1-r0.apk                    11-Mar-2025 03:55               16335
py3-jaraco.versioning-1.1.0-r0.apk                 25-Oct-2024 19:10                6051
py3-jaraco.versioning-pyc-1.1.0-r0.apk             25-Oct-2024 19:10                6251
py3-joserfc-1.3.4-r0.apk                           22-Sep-2025 05:00               58204
py3-joserfc-pyc-1.3.4-r0.apk                       22-Sep-2025 05:00              114502
py3-junit-xml-1.9-r3.apk                           25-Oct-2024 19:10                8543
py3-junit-xml-pyc-1.9-r3.apk                       25-Oct-2024 19:10                9540
py3-kazoo-0_git20211202-r4.apk                     25-Oct-2024 19:10              128027
py3-kazoo-pyc-0_git20211202-r4.apk                 25-Oct-2024 19:10              250374
py3-keepalive-0.5-r5.apk                           25-Oct-2024 19:10                9185
py3-keepalive-doc-0.5-r5.apk                       25-Oct-2024 19:10                2039
py3-keepalive-pyc-0.5-r5.apk                       25-Oct-2024 19:10               13360
py3-kerberos-1.3.1-r5.apk                          25-Oct-2024 19:10               17832
py3-landlock-1.0.0_pre4-r2.apk                     25-Oct-2024 19:10                8611
py3-landlock-pyc-1.0.0_pre4-r2.apk                 25-Oct-2024 19:10                9751
py3-langcodes-3.3.0-r2.apk                         25-Oct-2024 19:10              177705
py3-langcodes-pyc-3.3.0-r2.apk                     25-Oct-2024 19:10              112361
py3-language-data-1.3.0-r0.apk                     01-Dec-2024 20:08             5191897
py3-language-data-pyc-1.3.0-r0.apk                 01-Dec-2024 20:08             3105406
py3-latex2mathml-3.78.1-r1.apk                     29-Sep-2025 19:07               73773
py3-latex2mathml-pyc-3.78.1-r1.apk                 29-Sep-2025 19:07               35646
py3-lib_users-0.15-r4.apk                          25-Oct-2024 19:10               15996
py3-lib_users-pyc-0.15-r4.apk                      25-Oct-2024 19:10                9739
py3-libacl-0.7.0-r2.apk                            25-Oct-2024 19:10               26497
py3-libcec-rpi-6.0.2-r4.apk                        25-Oct-2024 19:10              109013
py3-libguestfs-1.56.1-r0.apk                       23-Jul-2025 04:04              184193
py3-libiio-0.25-r2.apk                             25-Oct-2024 19:10               12872
py3-liblarch-3.2.0-r6.apk                          08-Dec-2024 21:43               30224
py3-liblarch-pyc-3.2.0-r6.apk                      08-Dec-2024 21:43               50792
py3-libmdbx-0.10.2-r7.apk                          25-Oct-2024 19:10               28562
py3-libmdbx-pyc-0.10.2-r7.apk                      25-Oct-2024 19:10               33604
py3-libnacl-2.1.0-r1.apk                           25-Oct-2024 19:10               20801
py3-libnacl-pyc-2.1.0-r1.apk                       25-Oct-2024 19:10               31077
py3-libpyshell-0.4.1-r1.apk                        10-Jun-2025 09:40               11792
py3-libpyshell-pyc-0.4.1-r1.apk                    10-Jun-2025 09:40               18738
py3-librtmp-0.3.0-r6.apk                           25-Oct-2024 19:10               36831
py3-librtmp-pyc-0.3.0-r6.apk                       25-Oct-2024 19:10               25145
py3-limits-3.14.1-r0.apk                           25-Dec-2024 18:57               34300
py3-limits-pyc-3.14.1-r0.apk                       25-Dec-2024 18:57               73152
py3-linkify-it-py-2.0.3-r1.apk                     25-Oct-2024 19:10               21847
py3-linkify-it-py-pyc-2.0.3-r1.apk                 25-Oct-2024 19:10               23986
py3-linux-procfs-0.7.3-r0.apk                      13-Jan-2025 21:19               13931
py3-linux-procfs-pyc-0.7.3-r0.apk                  13-Jan-2025 21:19               22662
py3-litex-hub-modules-2024.04-r0.apk               25-Oct-2024 19:10                1660
py3-litex-hub-modules-pyc-2024.04-r0.apk           25-Oct-2024 19:10             1161221
py3-litex-hub-pythondata-cpu-blackparrot-2024.0..> 25-Oct-2024 19:10             5823451
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r..> 25-Oct-2024 19:10             2024149
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r..> 25-Oct-2024 19:10              956551
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk   25-Oct-2024 19:10              512015
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk   25-Oct-2024 19:10            10642019
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk   25-Oct-2024 19:10             1890458
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk   25-Oct-2024 19:10              114797
py3-litex-hub-pythondata-cpu-marocchino-2024.04..> 25-Oct-2024 19:10              212849
py3-litex-hub-pythondata-cpu-microwatt-2024.04-..> 25-Oct-2024 19:10            20402083
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0..> 25-Oct-2024 19:10               45901
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk 25-Oct-2024 19:10              226715
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r..> 25-Oct-2024 19:10                7735
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r..> 25-Oct-2024 19:10              235824
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk 25-Oct-2024 19:10            59549450
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk   25-Oct-2024 19:10              691414
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r..> 25-Oct-2024 19:10              729738
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024...> 25-Oct-2024 19:10             2521220
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0..> 25-Oct-2024 19:10               59338
py3-litex-hub-pythondata-software-compiler_rt-2..> 25-Oct-2024 19:10             2308333
py3-litex-hub-pythondata-software-picolibc-2024..> 25-Oct-2024 19:10             4914191
py3-litex-hub-valentyusb-2024.04-r0.apk            25-Oct-2024 19:10              114196
py3-livestream-2.1.0-r0.apk                        25-Nov-2024 22:22              784629
py3-livestream-pyc-2.1.0-r0.apk                    25-Nov-2024 22:22               30610
py3-log-symbols-0.0.14-r5.apk                      25-Oct-2024 19:10                4306
py3-log-symbols-pyc-0.0.14-r5.apk                  25-Oct-2024 19:10                3141
py3-logtop-0.7-r1.apk                              10-Aug-2025 01:01               22331
py3-logtop-pyc-0.7-r1.apk                          10-Aug-2025 01:01                4176
py3-lsp-black-2.0.0-r1.apk                         25-Oct-2024 19:10                7684
py3-lsp-black-pyc-2.0.0-r1.apk                     25-Oct-2024 19:10                6523
py3-lsp-mypy-0.7.0-r1.apk                          30-Aug-2025 01:39               13129
py3-lsp-mypy-pyc-0.7.0-r1.apk                      30-Aug-2025 01:39               13064
py3-lsprotocol-2023.0.1-r1.apk                     25-Oct-2024 19:10               71161
py3-lsprotocol-pyc-2023.0.1-r1.apk                 25-Oct-2024 19:10              109689
py3-luhn-0.2.0-r9.apk                              25-Oct-2024 19:10                4069
py3-luhn-pyc-0.2.0-r9.apk                          25-Oct-2024 19:10                2693
py3-lunr-0.6.2-r4.apk                              25-Oct-2024 19:10               33267
py3-lunr-pyc-0.6.2-r4.apk                          25-Oct-2024 19:10               51836
py3-lxmf-0.8.0-r0.apk                              23-Sep-2025 21:13               52010
py3-lxmf-pyc-0.8.0-r0.apk                          23-Sep-2025 21:13              112013
py3-ly-0.9.9-r0.apk                                12-Jul-2025 21:56              191078
py3-ly-doc-0.9.9-r0.apk                            12-Jul-2025 21:56                8255
py3-ly-pyc-0.9.9-r0.apk                            12-Jul-2025 21:56              360441
py3-lzo-1.16-r1.apk                                25-Oct-2024 19:10               17755
py3-lzo-pyc-1.16-r1.apk                            25-Oct-2024 19:10                2018
py3-m2crypto-0.41.0-r2.apk                         25-Oct-2024 19:10              195990
py3-m2crypto-pyc-0.41.0-r2.apk                     25-Oct-2024 19:10              125546
py3-maidenhead-1.8.0-r0.apk                        10-Aug-2025 21:20                8134
py3-maidenhead-doc-1.8.0-r0.apk                    10-Aug-2025 21:20                3772
py3-maidenhead-pyc-1.8.0-r0.apk                    10-Aug-2025 21:20                8145
py3-mando-0.7.1-r3.apk                             25-Oct-2024 19:10               22671
py3-mando-doc-0.7.1-r3.apk                         25-Oct-2024 19:10                4293
py3-mando-pyc-0.7.1-r3.apk                         25-Oct-2024 19:10               36826
py3-manuel-1.13.0-r0.apk                           30-Nov-2024 20:13               40054
py3-manuel-pyc-1.13.0-r0.apk                       30-Nov-2024 20:13               26227
py3-mapbox-earcut-1.0.1-r2.apk                     25-Oct-2024 19:10               61418
py3-marisa-trie-1.3.1-r0.apk                       29-Aug-2025 09:31              133098
py3-markdown2-2.5.0-r0.apk                         25-Oct-2024 19:10               48350
py3-markdown2-pyc-2.5.0-r0.apk                     25-Oct-2024 19:10               77301
py3-markdownify-1.2.0-r0.apk                       11-Aug-2025 12:35               16367
py3-markdownify-pyc-1.2.0-r0.apk                   11-Aug-2025 12:35               18697
py3-marshmallow-3.26.1-r0.apk                      22-Feb-2025 15:37               48688
py3-marshmallow-enum-1.5.1-r7.apk                  25-Oct-2024 19:10                5421
py3-marshmallow-enum-pyc-1.5.1-r7.apk              25-Oct-2024 19:10                4560
py3-marshmallow-pyc-3.26.1-r0.apk                  22-Feb-2025 15:37               86936
py3-mbedtls-2.10.1-r3.apk                          29-May-2025 12:00              948191
py3-mbedtls-pyc-2.10.1-r3.apk                      29-May-2025 12:00               27649
py3-meshtastic-2.7.2-r0.apk                        16-Sep-2025 14:11              552782
py3-migen-0.9.2-r2.apk                             25-Oct-2024 19:10              146035
py3-migen-pyc-0.9.2-r2.apk                         25-Oct-2024 19:10              302743
py3-milc-1.9.1-r0.apk                              26-Jan-2025 06:27               26616
py3-milc-pyc-1.9.1-r0.apk                          26-Jan-2025 06:27               42496
py3-minidb-2.0.8-r0.apk                            13-Nov-2024 19:44               10292
py3-minidb-pyc-2.0.8-r0.apk                        13-Nov-2024 19:44               23989
py3-minidump-0.0.24-r1.apk                         29-May-2025 12:00               65051
py3-minidump-pyc-0.0.24-r1.apk                     29-May-2025 12:00              132195
py3-minikerberos-0.4.7-r0.apk                      28-Jul-2025 21:41              139282
py3-minikerberos-pyc-0.4.7-r0.apk                  28-Jul-2025 21:41              288734
py3-minio-7.2.13-r0.apk                            25-Dec-2024 18:57               78222
py3-minio-pyc-7.2.13-r0.apk                        25-Dec-2024 18:57              164240
py3-mistletoe-1.4.0-r0.apk                         26-Mar-2025 11:51               45635
py3-mistletoe-pyc-1.4.0-r0.apk                     26-Mar-2025 11:51               95236
py3-mnemonic-0.21-r0.apk                           25-Oct-2024 19:10               97327
py3-mnemonic-doc-0.21-r0.apk                       25-Oct-2024 19:10                2454
py3-mnemonic-pyc-0.21-r0.apk                       25-Oct-2024 19:10                9923
py3-modbus-tk-1.1.1-r4.apk                         25-Oct-2024 19:10               25362
py3-modbus-tk-pyc-1.1.1-r4.apk                     25-Oct-2024 19:10               49650
py3-modern_colorthief-0.1.7-r0.apk                 16-Jun-2025 18:00              742036
py3-modern_colorthief-pyc-0.1.7-r0.apk             16-Jun-2025 18:00                2667
py3-mopidy-jellyfin-1.0.4-r4.apk                   25-Oct-2024 19:10               25131
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk               25-Oct-2024 19:10               37523
py3-mopidy-local-3.3.0-r0.apk                      01-Jan-2025 22:38               28437
py3-mopidy-local-pyc-3.3.0-r0.apk                  01-Jan-2025 22:38               34912
py3-mopidy-mpd-3.3.0-r4.apk                        25-Oct-2024 19:10               47118
py3-mopidy-mpd-pyc-3.3.0-r4.apk                    25-Oct-2024 19:10               75589
py3-mopidy-spotify-5.0.0_alpha3-r0.apk             25-Oct-2024 19:10               24837
py3-mopidy-spotify-pyc-5.0.0_alpha3-r0.apk         25-Oct-2024 19:10               40042
py3-mopidy-tidal-0.3.2-r6.apk                      25-Oct-2024 19:10               25344
py3-mopidy-tidal-pyc-0.3.2-r6.apk                  25-Oct-2024 19:10               36489
py3-more-properties-1.1.1-r3.apk                   25-Oct-2024 19:10                7613
py3-more-properties-pyc-1.1.1-r3.apk               25-Oct-2024 19:10                8420
py3-moviepy-1.0.3-r6.apk                           25-Oct-2024 19:10               96762
py3-moviepy-pyc-1.0.3-r6.apk                       25-Oct-2024 19:10              159263
py3-msldap-0.5.15-r1.apk                           29-May-2025 12:00              146385
py3-msldap-pyc-0.5.15-r1.apk                       29-May-2025 12:00              337753
py3-mss-10.0.0-r0.apk                              14-Nov-2024 13:09               51807
py3-natpmp-1.3.2-r1.apk                            25-Oct-2024 19:10                9639
py3-natpmp-pyc-1.3.2-r1.apk                        25-Oct-2024 19:10               10096
py3-ncclient-0.6.13-r5.apk                         25-Oct-2024 19:10               69854
py3-ncclient-pyc-0.6.13-r5.apk                     25-Oct-2024 19:10              109080
py3-netifaces2-0.0.22-r0.apk                       25-Oct-2024 19:10              188842
py3-netifaces2-pyc-0.0.22-r0.apk                   25-Oct-2024 19:10                9431
py3-netmiko-4.6.0-r0.apk                           13-Aug-2025 15:31              196526
py3-netmiko-pyc-4.6.0-r0.apk                       13-Aug-2025 15:31              381185
py3-nikola-8.3.1-r0.apk                            25-Oct-2024 19:10             1220410
py3-nikola-doc-8.3.1-r0.apk                        25-Oct-2024 19:10               62122
py3-nikola-pyc-8.3.1-r0.apk                        25-Oct-2024 19:10              542613
py3-nmap-0.7.1-r4.apk                              25-Oct-2024 19:10               20839
py3-nmap-pyc-0.7.1-r4.apk                          25-Oct-2024 19:10               26094
py3-nose-timer-1.0.1-r6.apk                        25-Oct-2024 19:10                9600
py3-nose-timer-pyc-1.0.1-r6.apk                    25-Oct-2024 19:10               10151
py3-notifymail-1.1-r8.apk                          25-Oct-2024 19:10                7759
py3-notifymail-pyc-1.1-r8.apk                      25-Oct-2024 19:10                5881
py3-nptyping-2.5.0-r3.apk                          25-Oct-2024 19:10               21852
py3-nptyping-pyc-2.5.0-r3.apk                      25-Oct-2024 19:10               32799
py3-ntplib-0.4.0-r5.apk                            25-Oct-2024 19:10                7575
py3-ntplib-pyc-0.4.0-r5.apk                        25-Oct-2024 19:10                8834
py3-numpy-stl-3.2.0-r0.apk                         01-Dec-2024 02:04               21508
py3-numpy-stl-pyc-3.2.0-r0.apk                     01-Dec-2024 02:04               28542
py3-nwdiag-3.0.0-r3.apk                            25-Oct-2024 19:10             5155506
py3-nwdiag-pyc-3.0.0-r3.apk                        25-Oct-2024 19:10               79747
py3-okonomiyaki-2.0.0-r0.apk                       25-Oct-2024 19:10             8270613
py3-okonomiyaki-pyc-2.0.0-r0.apk                   25-Oct-2024 19:10              248904
py3-onnxruntime-1.22.2-r1.apk                      02-Oct-2025 14:07             6079067
py3-onnxruntime-pyc-1.22.2-r1.apk                  02-Oct-2025 14:07             1392966
py3-openapi-codec-1.3.2-r9.apk                     25-Oct-2024 19:10                7830
py3-openapi-codec-pyc-1.3.2-r9.apk                 25-Oct-2024 19:10               12017
py3-opendht-3.1.11-r0.apk                          27-Jan-2025 20:56              149303
py3-openssh-wrapper-0.5_git20130425-r4.apk         25-Oct-2024 19:10                8443
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk     25-Oct-2024 19:10               10675
py3-openwisp-utils-1.0.4-r4.apk                    25-Oct-2024 19:10              509582
py3-openwisp-utils-pyc-1.0.4-r4.apk                25-Oct-2024 19:10               43280
py3-orderedmultidict-1.0.1-r7.apk                  25-Oct-2024 19:10               12150
py3-orderedmultidict-pyc-1.0.1-r7.apk              25-Oct-2024 19:10               17616
py3-osqp-0.6.2-r6.apk                              25-Oct-2024 19:10              103766
py3-osqp-dev-0.6.2-r6.apk                          25-Oct-2024 19:10               50219
py3-osqp-pyc-0.6.2-r6.apk                          25-Oct-2024 19:10               78349
py3-ovos-audio-plugin-simple-0.0.1-r0.apk          25-Oct-2024 19:10               10204
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk      25-Oct-2024 19:10                8419
py3-ovos-backend-client-1.0.0-r0.apk               25-Oct-2024 19:10               47018
py3-ovos-backend-client-pyc-1.0.0-r0.apk           25-Oct-2024 19:10               93238
py3-ovos-bus-client-1.3.4-r0.apk                   03-May-2025 20:03               51158
py3-ovos-bus-client-pyc-1.3.4-r0.apk               03-May-2025 20:03               91143
py3-ovos-classifiers-0.0.0_alpha53-r0.apk          25-Oct-2024 19:10              105444
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk      25-Oct-2024 19:10              167448
py3-ovos-config-2.1.1-r0.apk                       15-Jul-2025 18:37               48352
py3-ovos-config-pyc-2.1.1-r0.apk                   15-Jul-2025 18:37               35631
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk         25-Oct-2024 19:10              366364
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk     25-Oct-2024 19:10              447178
py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk       15-Jul-2025 20:03                9084
py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk   15-Jul-2025 20:03                4876
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk      25-Oct-2024 19:10              563432
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk  25-Oct-2024 19:10               88105
py3-ovos-ocp-files-plugin-0.13.1-r0.apk            25-Oct-2024 19:10               48097
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk        25-Oct-2024 19:10              106055
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk               25-Oct-2024 19:10                8269
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk           25-Oct-2024 19:10                3482
py3-ovos-ocp-news-plugin-0.1.1-r0.apk              15-Jul-2025 20:03               11358
py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk          15-Jul-2025 20:03                9093
py3-ovos-ocp-rss-plugin-0.1.1-r0.apk               15-Jul-2025 20:03                8365
py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk           15-Jul-2025 20:03                3985
py3-ovos-phal-plugin-connectivity-events-0.1.2-..> 15-Jul-2025 20:03                9016
py3-ovos-phal-plugin-connectivity-events-pyc-0...> 15-Jul-2025 20:03                5194
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk            25-Oct-2024 19:10                4633
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk        25-Oct-2024 19:10                4477
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk  21-Nov-2024 13:31               97390
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-..> 21-Nov-2024 13:31               11494
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk            21-Nov-2024 13:31               12205
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk        21-Nov-2024 13:31                9893
py3-ovos-phal-plugin-system-1.3.3-r0.apk           15-Jul-2025 16:49               11211
py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk       15-Jul-2025 16:49               10300
py3-ovos-plugin-manager-1.0.3-r0.apk               15-Jul-2025 19:34               88534
py3-ovos-plugin-manager-pyc-1.0.3-r0.apk           15-Jul-2025 19:34              170840
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk     25-Oct-2024 19:10               10325
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk 25-Oct-2024 19:10                6931
py3-ovos-translate-server-plugin-0.0.0-r0.apk      25-Oct-2024 19:10                8532
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk  25-Oct-2024 19:10                4206
py3-ovos-tts-plugin-piper-0.0.1-r0.apk             25-Oct-2024 19:10               12530
py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk         25-Oct-2024 19:10               11676
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk    25-Oct-2024 19:10                9740
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1..> 25-Oct-2024 19:10                5576
py3-ovos-utils-0.8.1-r0.apk                        15-Jul-2025 20:03               74314
py3-ovos-utils-pyc-0.8.1-r0.apk                    15-Jul-2025 20:03              133429
py3-ovos-vad-plugin-webrtcvad-0.0.1-r1.apk         25-Oct-2024 19:10                4630
py3-ovos-vad-plugin-webrtcvad-pyc-0.0.1-r1.apk     25-Oct-2024 19:10                4490
py3-ovos-workshop-7.0.6-r0.apk                     15-Jul-2025 19:30               94394
py3-ovos-workshop-pyc-7.0.6-r0.apk                 15-Jul-2025 19:30              169443
py3-ovos-ww-plugin-vosk-0.1.7-r0.apk               15-Jul-2025 19:30               11766
py3-ovos-ww-plugin-vosk-pyc-0.1.7-r0.apk           15-Jul-2025 19:30               12826
py3-owslib-0.34.1-r0.apk                           13-Jul-2025 19:28              199615
py3-owslib-pyc-0.34.1-r0.apk                       13-Jul-2025 19:28              434742
py3-pacparser-1.4.5-r1.apk                         25-Oct-2024 19:10              390573
py3-pacparser-pyc-1.4.5-r1.apk                     25-Oct-2024 19:10                4136
py3-padacioso-0.2.1-r0.apk                         25-Oct-2024 19:10               11718
py3-padacioso-pyc-0.2.1-r0.apk                     25-Oct-2024 19:10               12567
py3-pam-2.0.2-r2.apk                               25-Oct-2024 19:10               11462
py3-pam-pyc-2.0.2-r2.apk                           25-Oct-2024 19:10               13279
py3-pathvalidate-3.3.1-r0.apk                      12-Jul-2025 15:21               19548
py3-pathvalidate-pyc-3.3.1-r0.apk                  12-Jul-2025 15:21               34399
py3-pbkdf2-1.3-r7.apk                              25-Oct-2024 19:10                6445
py3-pbkdf2-pyc-1.3-r7.apk                          25-Oct-2024 19:10                7249
py3-pdal-3.4.5-r1.apk                              21-Jun-2025 21:42              167310
py3-pdal-pyc-3.4.5-r1.apk                          21-Jun-2025 21:42               13405
py3-pelican-4.9.1-r2.apk                           25-Oct-2024 19:10              239331
py3-pelican-pyc-4.9.1-r2.apk                       25-Oct-2024 19:10              150836
py3-pep8-naming-0.14.1-r0.apk                      25-Oct-2024 19:10               10003
py3-pep8-naming-pyc-0.14.1-r0.apk                  25-Oct-2024 19:10               13508
py3-phpserialize-1.3-r8.apk                        25-Oct-2024 19:10                9066
py3-phpserialize-pyc-1.3-r8.apk                    25-Oct-2024 19:10               10955
py3-phx-class-registry-5.0.0-r0.apk                25-Oct-2024 19:10               13459
py3-phx-class-registry-doc-5.0.0-r0.apk            25-Oct-2024 19:10                2275
py3-phx-class-registry-pyc-5.0.0-r0.apk            25-Oct-2024 19:10               17827
py3-piccata-2.0.3-r1.apk                           25-Oct-2024 19:10               20564
py3-piccata-pyc-2.0.3-r1.apk                       25-Oct-2024 19:10               35040
py3-pickle-secure-0.99.9-r1.apk                    25-Oct-2024 19:10                7682
py3-pickle-secure-pyc-0.99.9-r1.apk                25-Oct-2024 19:10                5485
py3-pigpio-79-r4.apk                               25-Oct-2024 19:10               95382
py3-pillow_heif-0.18.0-r0.apk                      25-Oct-2024 19:10               44365
py3-pillow_heif-pyc-0.18.0-r0.apk                  25-Oct-2024 19:10               36387
py3-pip-system-certs-4.0-r1.apk                    25-Oct-2024 19:10                7155
py3-pip-system-certs-pyc-4.0-r1.apk                25-Oct-2024 19:10                4769
py3-piper-phonemize-2023.11.14.4-r9.apk            12-Jul-2025 16:01              143944
py3-piper-phonemize-pyc-2023.11.14.4-r9.apk        12-Jul-2025 16:01                3428
py3-piper-tts-2023.11.14.2-r14.apk                 12-Jul-2025 16:01               41878
py3-playsound-1.3.0-r1.apk                         25-Oct-2024 19:10                7028
py3-playsound-pyc-1.3.0-r1.apk                     25-Oct-2024 19:10                8750
py3-plotly-5.24.1-r2.apk                           18-Apr-2025 22:10            21485085
py3-pltable-1.1.0-r1.apk                           13-Nov-2024 06:46               19023
py3-pltable-pyc-1.1.0-r1.apk                       13-Nov-2024 06:46               34278
py3-pockethernet-0.7.0-r4.apk                      25-Oct-2024 19:10               15137
py3-pockethernet-pyc-0.7.0-r4.apk                  25-Oct-2024 19:10               25811
py3-poetry-dynamic-versioning-1.9.1-r0.apk         26-Jul-2025 00:11               21092
py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk     26-Jul-2025 00:11               27022
py3-poppler-qt5-21.3.0-r2.apk                      29-Jan-2025 19:49              121560
py3-ppk2-api-0.9.2-r0.apk                          30-Nov-2024 21:06               16877
py3-ppk2-api-pyc-0.9.2-r0.apk                      30-Nov-2024 21:06               16908
py3-pprintpp-0.4.0-r1.apk                          25-Oct-2024 19:10               14239
py3-pprintpp-pyc-0.4.0-r1.apk                      25-Oct-2024 19:10               16667
py3-prefixed-0.9.0-r0.apk                          28-Aug-2025 16:59               14700
py3-prefixed-pyc-0.9.0-r0.apk                      28-Aug-2025 16:59                8816
py3-print-color-0.4.6-r0.apk                       25-Oct-2024 19:10                9072
py3-print-color-doc-0.4.6-r0.apk                   25-Oct-2024 19:10                2683
py3-print-color-pyc-0.4.6-r0.apk                   25-Oct-2024 19:10                5089
py3-priority-2.0.0-r0.apk                          23-Jul-2025 06:34               10102
py3-priority-pyc-2.0.0-r0.apk                      23-Jul-2025 06:34                9551
py3-proglog-0.1.10-r2.apk                          25-Oct-2024 19:10                7555
py3-proglog-pyc-0.1.10-r2.apk                      25-Oct-2024 19:10               10157
py3-protego-0.3.1-r0.apk                           30-Nov-2024 20:18                9562
py3-protego-pyc-0.3.1-r0.apk                       30-Nov-2024 20:18               11835
py3-proxmoxer-2.2.0-r0.apk                         16-Dec-2024 11:36               17259
py3-proxmoxer-pyc-2.2.0-r0.apk                     16-Dec-2024 11:36               28400
py3-pure_protobuf-3.1.2-r0.apk                     25-Oct-2024 19:10               21628
py3-pure_protobuf-pyc-3.1.2-r0.apk                 25-Oct-2024 19:10               38585
py3-py-radix-0.10.0-r10.apk                        25-Oct-2024 19:10               20162
py3-py-radix-pyc-0.10.0-r10.apk                    25-Oct-2024 19:10               10882
py3-pyatem-0.5.0-r4.apk                            25-Oct-2024 19:10               54144
py3-pyatem-pyc-0.5.0-r4.apk                        25-Oct-2024 19:10               93817
py3-pyautogui-0.9.53-r5.apk                        25-Oct-2024 19:10               35911
py3-pyautogui-pyc-0.9.53-r5.apk                    25-Oct-2024 19:10               46362
py3-pybars3-0.9.7-r6.apk                           25-Oct-2024 19:10               15198
py3-pybars3-pyc-0.9.7-r6.apk                       25-Oct-2024 19:10               17244
py3-pycaption-2.2.15-r0.apk                        25-Oct-2024 19:10              368713
py3-pycolorterm-0.2.1-r6.apk                       25-Oct-2024 19:10                5589
py3-pycolorterm-pyc-0.2.1-r6.apk                   25-Oct-2024 19:10                3818
py3-pycosat-0.6.6-r2.apk                           25-Oct-2024 19:10               46355
py3-pydes-2.0.1-r5.apk                             25-Oct-2024 19:10               10940
py3-pydes-doc-2.0.1-r5.apk                         25-Oct-2024 19:10                3731
py3-pydes-pyc-2.0.1-r5.apk                         25-Oct-2024 19:10               13493
py3-pygelbooru-1.0.0-r0.apk                        30-Jul-2025 04:28               20932
py3-pygelbooru-pyc-1.0.0-r0.apk                    30-Jul-2025 04:28               11777
py3-pygfm-2.0.0-r2.apk                             25-Oct-2024 19:10               13034
py3-pygfm-pyc-2.0.0-r2.apk                         25-Oct-2024 19:10               13519
py3-pyglet-2.1.5-r1.apk                            27-Aug-2025 14:47              911268
py3-pyglet-pyc-2.1.5-r1.apk                        27-Aug-2025 14:47             1700002
py3-pyglm-2.7.3-r0.apk                             06-Nov-2024 09:41             1277619
py3-pygpgme-0.3.1-r9.apk                           25-Oct-2024 19:10               37331
py3-pygpgme-pyc-0.3.1-r9.apk                       25-Oct-2024 19:10                5188
py3-pygtail-0.14.0-r3.apk                          25-Oct-2024 19:10               15346
py3-pygtail-pyc-0.14.0-r3.apk                      25-Oct-2024 19:10               10334
py3-pyinstaller-6.6.0-r0.apk                       25-Oct-2024 19:10             1981711
py3-pyinstaller-pyc-6.6.0-r0.apk                   25-Oct-2024 19:10              514305
py3-pyinstrument-5.1.1-r0.apk                      13-Aug-2025 08:05              112251
py3-pyinstrument-pyc-5.1.1-r0.apk                  13-Aug-2025 08:05              102914
py3-pyisbn-1.3.1-r3.apk                            25-Oct-2024 19:10               20936
py3-pyisbn-pyc-1.3.1-r3.apk                        25-Oct-2024 19:10                9635
py3-pylru-1.2.1-r1.apk                             25-Oct-2024 19:10               16967
py3-pylru-pyc-1.2.1-r1.apk                         25-Oct-2024 19:10                9159
py3-pymaging-0.0.20130908-r10.apk                  25-Oct-2024 19:10               18421
py3-pymaging-png-0.0.20130727-r10.apk              25-Oct-2024 19:10               35856
py3-pymaging-png-pyc-0.0.20130727-r10.apk          25-Oct-2024 19:10               53169
py3-pymaging-pyc-0.0.20130908-r10.apk              25-Oct-2024 19:10               32435
py3-pymata-2.20-r4.apk                             25-Oct-2024 19:10               23040
py3-pymata-pyc-2.20-r4.apk                         25-Oct-2024 19:10               30101
py3-pymata4-1.15-r4.apk                            25-Oct-2024 19:10               23600
py3-pymata4-pyc-1.15-r4.apk                        25-Oct-2024 19:10               31565
py3-pymeta3-0.5.1-r6.apk                           25-Oct-2024 19:10               17146
py3-pymeta3-pyc-0.5.1-r6.apk                       25-Oct-2024 19:10               32305
py3-pymsgbox-1.0.9-r5.apk                          25-Oct-2024 19:10                9380
py3-pymsgbox-pyc-1.0.9-r5.apk                      25-Oct-2024 19:10               10081
py3-pymsteams-0.2.5-r0.apk                         17-Feb-2025 15:08               12648
py3-pymsteams-pyc-0.2.5-r0.apk                     17-Feb-2025 15:08                6697
py3-pymupdf-1.26.4-r0.apk                          19-Sep-2025 04:25              326361
py3-pymupdf-pyc-1.26.4-r0.apk                      19-Sep-2025 04:25              512190
py3-pynest2d-5.2.2-r5.apk                          06-Feb-2025 04:44              245264
py3-pypandoc-1.15-r0.apk                           12-Feb-2025 22:35               21209
py3-pypandoc-pyc-1.15-r0.apk                       12-Feb-2025 22:35               23565
py3-pyparted-3.13.0-r1.apk                         25-Oct-2024 19:10               79628
py3-pyparted-pyc-3.13.0-r1.apk                     25-Oct-2024 19:10               43182
py3-pypubsub-4.0.3-r0.apk                          25-Oct-2024 19:10               53645
py3-pypubsub-doc-4.0.3-r0.apk                      25-Oct-2024 19:10                2193
py3-pypubsub-pyc-4.0.3-r0.apk                      25-Oct-2024 19:10               92069
py3-pyqrcode-1.2.1-r0.apk                          25-Oct-2024 19:10               37434
py3-pyqrcode-doc-1.2.1-r0.apk                      25-Oct-2024 19:10                4404
py3-pyqrcode-pyc-1.2.1-r0.apk                      25-Oct-2024 19:10               48406
py3-pyrebase-3.0.27-r5.apk                         25-Oct-2024 19:10                9854
py3-pyrebase-pyc-3.0.27-r5.apk                     25-Oct-2024 19:10               17976
py3-pyroma-4.2-r0.apk                              25-Oct-2024 19:10               22395
py3-pyroma-pyc-4.2-r0.apk                          25-Oct-2024 19:10               26576
py3-pyscreeze-0.1.29-r3.apk                        25-Oct-2024 19:10               14447
py3-pyscreeze-pyc-0.1.29-r3.apk                    25-Oct-2024 19:10               14532
py3-pysimplesoap-1.16.2-r7.apk                     25-Oct-2024 19:10               44714
py3-pysimplesoap-pyc-1.16.2-r7.apk                 25-Oct-2024 19:10               80046
py3-pysonic-1.0.3-r0.apk                           17-Apr-2025 18:34               35591
py3-pysonic-pyc-1.0.3-r0.apk                       17-Apr-2025 18:34               33009
py3-pyspinel-1.0.3-r1.apk                          25-Oct-2024 19:10               57358
py3-pyspinel-pyc-1.0.3-r1.apk                      25-Oct-2024 19:10               64552
py3-pysrt-1.1.2-r5.apk                             14-May-2025 18:17               26094
py3-pysrt-pyc-1.1.2-r5.apk                         14-May-2025 18:17               23292
py3-pystache-0.6.5-r1.apk                          25-Oct-2024 19:10               69741
py3-pystache-pyc-0.6.5-r1.apk                      25-Oct-2024 19:10               98985
py3-pysubs2-1.8.0-r0.apk                           25-Dec-2024 22:09               36790
py3-pysubs2-pyc-1.8.0-r0.apk                       25-Dec-2024 22:09               69336
py3-pytaglib-3.0.0-r0.apk                          26-Jan-2025 19:30               40768
py3-pytaglib-pyc-3.0.0-r0.apk                      26-Jan-2025 19:30                2940
py3-pytap2-2.3.0-r0.apk                            25-Oct-2024 19:10                7137
py3-pytap2-doc-2.3.0-r0.apk                        25-Oct-2024 19:10                2862
py3-pytap2-pyc-2.3.0-r0.apk                        25-Oct-2024 19:10                6130
py3-pyte-0.8.2-r3.apk                              13-May-2025 22:00               30622
py3-pyte-pyc-0.8.2-r3.apk                          13-May-2025 22:00               40122
py3-pytest-datadir-1.8.0-r0.apk                    05-Aug-2025 12:48                7251
py3-pytest-datadir-pyc-1.8.0-r0.apk                05-Aug-2025 12:48                5522
py3-pytest-expect-1.1.0-r10.apk                    25-Oct-2024 19:10                6037
py3-pytest-expect-pyc-1.1.0-r10.apk                25-Oct-2024 19:10                7123
py3-pytest-home-0.6.0-r0.apk                       25-Oct-2024 19:10                4642
py3-pytest-home-pyc-0.6.0-r0.apk                   25-Oct-2024 19:10                2868
py3-pytest-html-4.1.1-r1.apk                       25-Oct-2024 19:10               22141
py3-pytest-html-pyc-4.1.1-r1.apk                   25-Oct-2024 19:10               22276
py3-pytest-metadata-3.1.1-r0.apk                   25-Oct-2024 19:10               10438
py3-pytest-metadata-pyc-3.1.1-r0.apk               25-Oct-2024 19:10                8086
py3-pytest-regtest-2.3.2-r1.apk                    17-Feb-2025 15:08               16197
py3-pytest-regtest-pyc-2.3.2-r1.apk                17-Feb-2025 15:08               30769
py3-pytest-subprocess-1.5.2-r0.apk                 25-Oct-2024 19:10               19977
py3-pytest-subprocess-pyc-1.5.2-r0.apk             25-Oct-2024 19:10               25102
py3-pytest-textual-snapshot-1.1.0-r0.apk           08-Aug-2025 13:48                9399
py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk       08-Aug-2025 13:48               10771
py3-python-archive-0.2-r7.apk                      25-Oct-2024 19:10                7574
py3-python-archive-pyc-0.2-r7.apk                  25-Oct-2024 19:10                9575
py3-python-iptables-1.0.1-r1.apk                   25-Oct-2024 19:10               40087
py3-python-iptables-pyc-1.0.1-r1.apk               25-Oct-2024 19:10               69535
py3-python-jwt-4.1.0-r2.apk                        15-May-2025 21:22                8265
py3-python-jwt-pyc-4.1.0-r2.apk                    15-May-2025 21:22                6625
py3-python-logstash-0.4.8-r4.apk                   25-Oct-2024 19:10                8806
py3-python-logstash-doc-0.4.8-r4.apk               25-Oct-2024 19:10                2311
py3-python-logstash-pyc-0.4.8-r4.apk               25-Oct-2024 19:10                8618
py3-python-stdnum-1.20-r0.apk                      25-Oct-2024 19:10              825052
py3-python-stdnum-pyc-1.20-r0.apk                  25-Oct-2024 19:10              300541
py3-pyvcd-0.4.1-r0.apk                             11-Nov-2024 21:46               23216
py3-pyvcd-pyc-0.4.1-r0.apk                         11-Nov-2024 21:46               41425
py3-pyzor-1.1.2-r0.apk                             14-Aug-2025 06:26               41290
py3-pyzor-pyc-1.1.2-r0.apk                         14-Aug-2025 06:26               55271
py3-qasync-0.19.0-r2.apk                           25-Oct-2024 19:10               37750
py3-qdldl-0.1.5-r4.apk                             25-Oct-2024 19:10               92636
py3-qgis-3.40.11-r0.apk                            27-Sep-2025 21:02            21322211
py3-qpageview-0.6.2-r1.apk                         25-Oct-2024 19:10              100400
py3-qpageview-doc-0.6.2-r1.apk                     25-Oct-2024 19:10               57643
py3-qpageview-pyc-0.6.2-r1.apk                     25-Oct-2024 19:10              184549
py3-qt.py-1.3.10-r1.apk                            25-Oct-2024 19:10               33476
py3-qt.py-pyc-1.3.10-r1.apk                        25-Oct-2024 19:10               25280
py3-quart-0.20.0-r0.apk                            23-Jul-2025 06:34               70048
py3-quart-pyc-0.20.0-r0.apk                        23-Jul-2025 06:34              150545
py3-quebra-frases-0.3.7-r1.apk                     25-Oct-2024 19:10                9025
py3-quebra-frases-pyc-0.3.7-r1.apk                 25-Oct-2024 19:10                8005
py3-queuelib-1.8.0-r0.apk                          01-Sep-2025 18:13               12708
py3-queuelib-pyc-1.8.0-r0.apk                      01-Sep-2025 18:13               25073
py3-rabbit-1.1.0-r8.apk                            25-Oct-2024 19:10               11202
py3-rabbit-pyc-1.1.0-r8.apk                        25-Oct-2024 19:10               15860
py3-radon-6.0.1-r2.apk                             25-Oct-2024 19:10               32276
py3-radon-doc-6.0.1-r2.apk                         25-Oct-2024 19:10                5267
py3-radon-pyc-6.0.1-r2.apk                         25-Oct-2024 19:10               50755
py3-recommonmark-0.7.1-r4.apk                      25-Oct-2024 19:10               12023
py3-recommonmark-pyc-0.7.1-r4.apk                  25-Oct-2024 19:10               17928
py3-recurring-ical-events-3.8.0-r0.apk             15-Jun-2025 04:00               39815
py3-recurring-ical-events-pyc-3.8.0-r0.apk         15-Jun-2025 04:00               51079
py3-redmine-2.5.0-r0.apk                           25-Oct-2024 19:10               37665
py3-redmine-pyc-2.5.0-r0.apk                       25-Oct-2024 19:10               54878
py3-remind-0.19.2-r0.apk                           03-May-2025 20:03               25017
py3-remind-pyc-0.19.2-r0.apk                       03-May-2025 20:03               23063
py3-requests-cache-1.2.1-r1.apk                    20-Nov-2024 00:45               51130
py3-requests-cache-pyc-1.2.1-r1.apk                20-Nov-2024 00:45               96470
py3-requests-kerberos-0.15.0-r0.apk                01-Dec-2024 17:24               12390
py3-requests-kerberos-pyc-0.15.0-r0.apk            01-Dec-2024 17:24               11191
py3-requests-pkcs12-1.27-r0.apk                    14-Sep-2025 16:28                6686
py3-requests-pkcs12-pyc-1.27-r0.apk                14-Sep-2025 16:28                8282
py3-requests-wsgi-adapter-0.4.1-r1.apk             25-Oct-2024 19:10                5644
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk         25-Oct-2024 19:10                6758
py3-rfc-bibtex-0.3.2-r7.apk                        25-Oct-2024 19:10               13177
py3-rfc-bibtex-pyc-0.3.2-r7.apk                    25-Oct-2024 19:10               12744
py3-rich-click-1.7.3-r1.apk                        25-Oct-2024 19:10               31473
py3-rich-click-pyc-1.7.3-r1.apk                    25-Oct-2024 19:10               41301
py3-riotctrl-0.5.0-r4.apk                          25-Oct-2024 19:10               13351
py3-riotctrl-pyc-0.5.0-r4.apk                      25-Oct-2024 19:10               10968
py3-rns-1.0.0-r0.apk                               23-Sep-2025 21:13              352460
py3-rns-pyc-1.0.0-r0.apk                           23-Sep-2025 21:13              721454
py3-rofi-1.0.1-r1.apk                              10-Aug-2025 01:01               12454
py3-rofi-pyc-1.0.1-r1.apk                          10-Aug-2025 01:01               12035
py3-rosdistro-0.9.0-r3.apk                         25-Oct-2024 19:10               48195
py3-rosdistro-pyc-0.9.0-r3.apk                     25-Oct-2024 19:10               93451
py3-rospkg-1.2.9-r5.apk                            25-Oct-2024 19:10               29491
py3-rospkg-pyc-1.2.9-r5.apk                        25-Oct-2024 19:10               55426
py3-rpio-0.10.1-r8.apk                             25-Oct-2024 19:10               40223
py3-rpio-pyc-0.10.1-r8.apk                         25-Oct-2024 19:10               16332
py3-rst-0.1-r9.apk                                 25-Oct-2024 19:10                5697
py3-rst-pyc-0.1-r9.apk                             25-Oct-2024 19:10                6257
py3-rst.linker-2.6.0-r0.apk                        25-Oct-2024 19:10                6240
py3-rst.linker-pyc-2.6.0-r0.apk                    25-Oct-2024 19:10                6807
py3-rst2ansi-0.1.5-r0.apk                          25-Oct-2024 19:10               12454
py3-rst2ansi-doc-0.1.5-r0.apk                      25-Oct-2024 19:10                2288
py3-rst2ansi-pyc-0.1.5-r0.apk                      25-Oct-2024 19:10               24546
py3-rst2pdf-0.102-r0.apk                           10-Jun-2025 19:57              158203
py3-rst2pdf-pyc-0.102-r0.apk                       10-Jun-2025 19:57              210735
py3-rtree-1.4.1-r0.apk                             14-Aug-2025 10:18               27077
py3-rtree-pyc-1.4.1-r0.apk                         14-Aug-2025 10:18               49262
py3-schema-0.7.7-r0.apk                            07-Jul-2025 16:10               19891
py3-schema-pyc-0.7.7-r0.apk                        07-Jul-2025 16:10               21013
py3-scour-0.38.2-r1.apk                            25-Oct-2024 19:10               57744
py3-scour-pyc-0.38.2-r1.apk                        25-Oct-2024 19:10               75541
py3-scrapy-2.11.1-r1.apk                           25-Oct-2024 19:10              245740
py3-scrapy-pyc-2.11.1-r1.apk                       25-Oct-2024 19:10              493584
py3-scs-3.2.3-r4.apk                               25-Oct-2024 19:10               99957
py3-scs-pyc-3.2.3-r4.apk                           25-Oct-2024 19:10                5042
py3-senf-1.5.0-r0.apk                              27-Jun-2025 02:58               20669
py3-senf-pyc-1.5.0-r0.apk                          27-Jun-2025 02:58               33276
py3-seqdiag-3.0.0-r5.apk                           25-Oct-2024 19:10             2581805
py3-seqdiag-pyc-3.0.0-r5.apk                       25-Oct-2024 19:10               43248
py3-setuptools-lint-0.6.0-r9.apk                   25-Oct-2024 19:10                5555
py3-setuptools-lint-pyc-0.6.0-r9.apk               25-Oct-2024 19:10                6152
py3-sh-2.1.0-r0.apk                                01-Nov-2024 15:13               38667
py3-sh-pyc-2.1.0-r0.apk                            01-Nov-2024 15:13               56172
py3-shodan-1.31.0-r1.apk                           25-Oct-2024 19:10               45153
py3-shodan-doc-1.31.0-r1.apk                       25-Oct-2024 19:10                7370
py3-shodan-pyc-1.31.0-r1.apk                       25-Oct-2024 19:10               81050
py3-simber-0.2.6-r5.apk                            14-May-2025 18:17               12171
py3-simber-pyc-0.2.6-r5.apk                        14-May-2025 18:17               16594
py3-simple-websocket-1.1.0-r0.apk                  06-Sep-2025 16:20               11134
py3-simple-websocket-doc-1.1.0-r0.apk              06-Sep-2025 16:20                2343
py3-simple-websocket-pyc-1.1.0-r0.apk              06-Sep-2025 16:20               23299
py3-simpleeval-1.0.3-r0.apk                        24-Jun-2025 19:38               15992
py3-simpleeval-pyc-1.0.3-r0.apk                    24-Jun-2025 19:38               16866
py3-simplematch-1.4-r1.apk                         25-Oct-2024 19:10                8190
py3-simplematch-pyc-1.4-r1.apk                     25-Oct-2024 19:10                5939
py3-simplesat-0.8.2-r0.apk                         25-Oct-2024 19:10              219164
py3-simplesat-pyc-0.8.2-r0.apk                     25-Oct-2024 19:10              160327
py3-simplesoapy-1.5.1-r7.apk                       25-Oct-2024 19:10                8053
py3-simplesoapy-pyc-1.5.1-r7.apk                   25-Oct-2024 19:10               12056
py3-simplespectral-1.0.0-r5.apk                    25-Oct-2024 19:10                7683
py3-simplespectral-pyc-1.0.0-r5.apk                25-Oct-2024 19:10                8272
py3-slidge-style-parser-0.1.9-r0.apk               13-Apr-2025 20:28              205936
py3-slidge-style-parser-pyc-0.1.9-r0.apk           13-Apr-2025 20:28                2016
py3-slixmpp-1.8.5-r2.apk                           25-Oct-2024 19:10              392104
py3-slixmpp-doc-1.8.5-r2.apk                       25-Oct-2024 19:10                5945
py3-slixmpp-pyc-1.8.5-r2.apk                       25-Oct-2024 19:10              746994
py3-snapshottest-0.6.0-r5.apk                      25-Oct-2024 19:10               15478
py3-snapshottest-pyc-0.6.0-r5.apk                  25-Oct-2024 19:10               26348
py3-soappy-0.52.30-r0.apk                          04-Dec-2024 11:57               48394
py3-soappy-pyc-0.52.30-r0.apk                      04-Dec-2024 11:57               97568
py3-soapy_power-1.6.1-r5.apk                       25-Oct-2024 19:10               17913
py3-soapy_power-pyc-1.6.1-r5.apk                   25-Oct-2024 19:10               27161
py3-socketio-5.13.0-r0.apk                         06-Sep-2025 16:20               63709
py3-socketio-doc-5.13.0-r0.apk                     06-Sep-2025 16:20               36875
py3-socketio-pyc-5.13.0-r0.apk                     06-Sep-2025 16:20              129773
py3-sortedcollections-2.1.0-r5.apk                 25-Oct-2024 19:10               10917
py3-sortedcollections-pyc-2.1.0-r5.apk             25-Oct-2024 19:10               14200
py3-spake2-0.9-r0.apk                              25-Oct-2024 19:10               30863
py3-spake2-pyc-0.9-r0.apk                          25-Oct-2024 19:10               44555
py3-sphinx-argparse-0.5.2-r0.apk                   25-Oct-2024 19:10               14523
py3-sphinx-argparse-pyc-0.5.2-r0.apk               25-Oct-2024 19:10               22652
py3-sphinx-autoapi-3.6.0-r0.apk                    22-Feb-2025 15:37               31983
py3-sphinx-autoapi-pyc-3.6.0-r0.apk                22-Feb-2025 15:37               60260
py3-sphinx-theme-better-0.1.5-r7.apk               25-Oct-2024 19:10               10777
py3-sphinx-theme-better-pyc-0.1.5-r7.apk           25-Oct-2024 19:10                2031
py3-sphinx-theme-bootstrap-0.8.1-r4.apk            25-Oct-2024 19:10             1212511
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk        25-Oct-2024 19:10                2400
py3-sphinx-theme-bw-0.1.8-r7.apk                   25-Oct-2024 19:10               66317
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk               25-Oct-2024 19:10                1879
py3-sphinx-theme-cloud-1.10.0-r2.apk               25-Oct-2024 19:10               83259
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk           25-Oct-2024 19:10               43801
py3-sphinx-theme-epfl-1.1.1-r9.apk                 25-Oct-2024 19:10               30570
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk             25-Oct-2024 19:10                2532
py3-sphinx-theme-guzzle-0.7.11-r7.apk              25-Oct-2024 19:10             2564871
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk          25-Oct-2024 19:10                5642
py3-sphinx-theme-readable-1.3.0-r9.apk             25-Oct-2024 19:10                9032
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk         25-Oct-2024 19:10                2260
py3-sphinxcontrib-actdiag-3.0.0-r4.apk             25-Oct-2024 19:10                7814
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk         25-Oct-2024 19:10                9501
py3-sphinxcontrib-adadomain-0.2-r9.apk             25-Oct-2024 19:10                9222
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk         25-Oct-2024 19:10               12265
py3-sphinxcontrib-bitbucket-1.0-r8.apk             25-Oct-2024 19:10                5812
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk         25-Oct-2024 19:10                4184
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk           25-Oct-2024 19:10                7724
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk       25-Oct-2024 19:10                9371
py3-sphinxcontrib-cacoo-2.0.0-r7.apk               25-Oct-2024 19:10                5867
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk           25-Oct-2024 19:10                4351
py3-sphinxcontrib-cartouche-1.1.2-r7.apk           25-Oct-2024 19:10               18354
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk       25-Oct-2024 19:10               34305
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk             25-Oct-2024 19:10                9337
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk         25-Oct-2024 19:10                3566
py3-sphinxcontrib-gist-0.1.0-r9.apk                25-Oct-2024 19:10                4009
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk            25-Oct-2024 19:10                3414
py3-sphinxcontrib-git-11.0.0-r7.apk                25-Oct-2024 19:10               17543
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk            25-Oct-2024 19:10                6782
py3-sphinxcontrib-gravatar-0.1.2-r8.apk            25-Oct-2024 19:10                8054
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk        25-Oct-2024 19:10                7806
py3-sphinxcontrib-htsql-0.1.5-r8.apk               25-Oct-2024 19:10               10805
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk           25-Oct-2024 19:10               15395
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk          25-Oct-2024 19:10               18532
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk     25-Oct-2024 19:10                4441
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk      25-Oct-2024 19:10               34701
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1..> 25-Oct-2024 19:10               21882
py3-sphinxcontrib-hydomain-pyc-0.1.0_git2023093..> 25-Oct-2024 19:10               44888
py3-sphinxcontrib-inheritance-0.9.0-r9.apk         25-Oct-2024 19:10               10787
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk     25-Oct-2024 19:10               15441
py3-sphinxcontrib-issuetracker-0.11-r7.apk         25-Oct-2024 19:10               11366
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk     25-Oct-2024 19:10               12237
py3-sphinxcontrib-lassodomain-0.4-r8.apk           25-Oct-2024 19:10                8114
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk       25-Oct-2024 19:10               10851
py3-sphinxcontrib-manpage-0.6-r8.apk               25-Oct-2024 19:10                4236
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk           25-Oct-2024 19:10                3223
py3-sphinxcontrib-mermaid-1.0.0-r0.apk             08-May-2025 12:22               10509
py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk         08-May-2025 12:22               16412
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk              25-Oct-2024 19:10                8925
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk          25-Oct-2024 19:10               11460
py3-sphinxcontrib-phpdomain-0.13.0-r0.apk          12-Jul-2025 16:01               11611
py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk      12-Jul-2025 16:01               17610
py3-sphinxcontrib-plantuml-0.30-r0.apk             08-May-2025 12:22               12325
py3-sphinxcontrib-plantuml-pyc-0.30-r0.apk         08-May-2025 12:22               19067
py3-sphinxcontrib-programoutput-0.17-r5.apk        25-Oct-2024 19:10               16868
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk    25-Oct-2024 19:10               24422
py3-sphinxcontrib-restbuilder-0.3-r6.apk           25-Oct-2024 19:10               11596
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk       25-Oct-2024 19:10               20994
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk             25-Oct-2024 19:10                7832
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk         25-Oct-2024 19:10                9521
py3-sphinxcontrib-slide-1.0.0-r4.apk               13-May-2025 22:00                5003
py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk           13-May-2025 22:00                5692
py3-sphinxcontrib-spelling-8.0.1-r0.apk            13-Jul-2025 21:34               13504
py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk        13-Jul-2025 21:34               19388
py3-sphinxcontrib-sqltable-2.0.0-r8.apk            25-Oct-2024 19:10                7521
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk        25-Oct-2024 19:10                5048
py3-sphinxcontrib-textstyle-0.2.3-r8.apk           25-Oct-2024 19:10                6253
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk       25-Oct-2024 19:10                5789
py3-spidev-3.6-r1.apk                              25-Oct-2024 19:10               14449
py3-spin-0.8-r0.apk                                25-Oct-2024 19:10               18963
py3-spin-pyc-0.8-r0.apk                            25-Oct-2024 19:10               25074
py3-spinners-0.0.24-r5.apk                         25-Oct-2024 19:10                6235
py3-spinners-pyc-0.0.24-r5.apk                     25-Oct-2024 19:10                6401
py3-spnego-0.11.2-r0.apk                           16-Jan-2025 07:52              120737
py3-spnego-pyc-0.11.2-r0.apk                       16-Jan-2025 07:52              224332
py3-spotipy-2.24.0-r2.apk                          14-May-2025 18:17               30326
py3-spotipy-pyc-2.24.0-r2.apk                      14-May-2025 18:17               50704
py3-sqlmodel-0.0.22-r1.apk                         06-Dec-2024 23:03               26954
py3-sqlmodel-pyc-0.0.22-r1.apk                     06-Dec-2024 23:03               42172
py3-sssd-2.11.1-r1.apk                             10-Aug-2025 01:01               63195
py3-sssd-pyc-2.11.1-r1.apk                         10-Aug-2025 01:01               49807
py3-sstash-0.17-r9.apk                             25-Oct-2024 19:10                7910
py3-sstash-pyc-0.17-r9.apk                         25-Oct-2024 19:10               10534
py3-svglib-1.5.1-r0.apk                            10-Jun-2025 19:57               30617
py3-svglib-doc-1.5.1-r0.apk                        10-Jun-2025 19:57                2128
py3-svglib-pyc-1.5.1-r0.apk                        10-Jun-2025 19:57               43433
py3-svgpath-7.0-r0.apk                             08-Jul-2025 06:32               19048
py3-svgpath-pyc-7.0-r0.apk                         08-Jul-2025 06:32               24384
py3-swagger-ui-bundle-1.1.0-r1.apk                 25-Oct-2024 19:10             2602516
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk             25-Oct-2024 19:10                2157
py3-synapse-auto-accept-invite-1.2.0-r1.apk        10-Aug-2025 01:01                9996
py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk    10-Aug-2025 01:01                5758
py3-tailer-0.4.1-r7.apk                            25-Oct-2024 19:10                7033
py3-tailer-pyc-0.4.1-r7.apk                        25-Oct-2024 19:10                6793
py3-tasklib-2.5.1-r2.apk                           25-Oct-2024 19:10               23654
py3-tasklib-pyc-2.5.1-r2.apk                       25-Oct-2024 19:10               52764
py3-telegram-bot-22.3-r0.apk                       13-Aug-2025 15:30              498765
py3-telegram-bot-pyc-22.3-r0.apk                   13-Aug-2025 15:30              800220
py3-telegram-text-0.2.0-r1.apk                     25-Oct-2024 19:10                9414
py3-telegram-text-pyc-0.2.0-r1.apk                 25-Oct-2024 19:10               13264
py3-telemetrix-1.20-r3.apk                         25-Oct-2024 19:10               21439
py3-telemetrix-pyc-1.20-r3.apk                     25-Oct-2024 19:10               31787
py3-teletype-1.3.4-r3.apk                          25-Oct-2024 19:10               15777
py3-teletype-pyc-1.3.4-r3.apk                      25-Oct-2024 19:10               21018
py3-testresources-2.0.1-r6.apk                     25-Oct-2024 19:10               17766
py3-testresources-pyc-2.0.1-r6.apk                 25-Oct-2024 19:10               16333
py3-textual-3.2.0-r0.apk                           14-May-2025 10:00              610604
py3-textual-pyc-3.2.0-r0.apk                       14-May-2025 10:00             1216124
py3-thefuzz-0.22.1-r1.apk                          25-Oct-2024 19:10               10252
py3-thefuzz-pyc-0.22.1-r1.apk                      25-Oct-2024 19:10                9164
py3-ticket-auth-0.1.4-r9.apk                       25-Oct-2024 19:10                6096
py3-ticket-auth-pyc-0.1.4-r9.apk                   25-Oct-2024 19:10                6529
py3-tidalapi-0.8.4-r0.apk                          12-Jul-2025 16:01               51325
py3-tidalapi-pyc-0.8.4-r0.apk                      12-Jul-2025 16:01               92891
py3-timeago-1.0.16-r0.apk                          25-Oct-2024 19:10               24165
py3-timeago-doc-1.0.16-r0.apk                      25-Oct-2024 19:10                2920
py3-timeago-pyc-1.0.16-r0.apk                      25-Oct-2024 19:10               28321
py3-tls_parser-2.0.2-r0.apk                        14-Jul-2025 07:46               10549
py3-tls_parser-pyc-2.0.2-r0.apk                    14-Jul-2025 07:46               17569
py3-tlslite-ng-0.7.6-r8.apk                        25-Oct-2024 19:10              182788
py3-tlslite-ng-pyc-0.7.6-r8.apk                    25-Oct-2024 19:10              281364
py3-tokenizers-0.21.2-r0.apk                       30-Jun-2025 07:25             1619723
py3-tokenizers-pyc-0.21.2-r0.apk                   30-Jun-2025 07:25               29559
py3-tpm2-pytss-2.3.0-r1.apk                        25-Oct-2024 19:10              270405
py3-tpm2-pytss-pyc-2.3.0-r1.apk                    25-Oct-2024 19:10              241768
py3-transitions-0.9.2-r0.apk                       25-Oct-2024 19:10              100078
py3-transitions-pyc-0.9.2-r0.apk                   25-Oct-2024 19:10              131886
py3-translationstring-1.4-r4.apk                   25-Oct-2024 19:10                9372
py3-translationstring-pyc-1.4-r4.apk               25-Oct-2024 19:10                8977
py3-trimesh-3.22.1-r2.apk                          25-Oct-2024 19:10              644599
py3-trimesh-pyc-3.22.1-r2.apk                      25-Oct-2024 19:10              748844
py3-trivup-0.12.2-r2.apk                           25-Oct-2024 19:10               34838
py3-trivup-pyc-0.12.2-r2.apk                       25-Oct-2024 19:10               56228
py3-truststore-0.10.1-r0.apk                       12-Feb-2025 17:34               17911
py3-truststore-pyc-0.10.1-r0.apk                   12-Feb-2025 17:34               26116
py3-twiggy-0.5.1-r4.apk                            25-Oct-2024 19:10               24708
py3-twiggy-pyc-0.5.1-r4.apk                        25-Oct-2024 19:10               39608
py3-typing_inspect-0.9.0-r2.apk                    25-Oct-2024 19:10               10150
py3-typing_inspect-pyc-0.9.0-r2.apk                25-Oct-2024 19:10               14569
py3-u-msgpack-2.8.0-r2.apk                         25-Oct-2024 19:10               11170
py3-u-msgpack-pyc-2.8.0-r2.apk                     25-Oct-2024 19:10               16620
py3-uacme-desec-1.2.1-r0.apk                       25-Oct-2024 19:10                5838
py3-uacme-desec-doc-1.2.1-r0.apk                   25-Oct-2024 19:10                2248
py3-uacme-desec-pyc-1.2.1-r0.apk                   25-Oct-2024 19:10                6934
py3-uc-micro-py-1.0.2-r1.apk                       25-Oct-2024 19:10                9280
py3-unearth-0.17.5-r0.apk                          11-Apr-2025 05:33               41329
py3-unearth-pyc-0.17.5-r0.apk                      11-Apr-2025 05:33               83525
py3-unicorn-2.0.1-r4.apk                           25-Oct-2024 19:10               34467
py3-unicorn-hat-2.1.2-r6.apk                       06-Nov-2024 09:41               16006
py3-unicorn-pyc-2.0.1-r4.apk                       25-Oct-2024 19:10               58173
py3-unicrypto-0.0.11-r0.apk                        19-Aug-2025 20:16               60326
py3-unicrypto-pyc-0.0.11-r0.apk                    19-Aug-2025 20:16               94410
py3-unidns-0.0.3-r0.apk                            14-Sep-2025 16:50               14581
py3-unidns-examples-0.0.3-r0.apk                   14-Sep-2025 16:50                2667
py3-unidns-pyc-0.0.3-r0.apk                        14-Sep-2025 16:50               25140
py3-unoconv-0.9.0-r4.apk                           13-May-2025 22:00               26524
py3-uptime-3.0.1-r9.apk                            25-Oct-2024 19:10               10234
py3-uptime-pyc-3.0.1-r9.apk                        25-Oct-2024 19:10                8908
py3-urlobject-2.4.3-r9.apk                         25-Oct-2024 19:10               15111
py3-urlobject-pyc-2.4.3-r9.apk                     25-Oct-2024 19:10               25270
py3-us-3.2.0-r0.apk                                25-Oct-2024 19:10               14658
py3-us-pyc-3.2.0-r0.apk                            25-Oct-2024 19:10               15557
py3-utc-0.0.3-r9.apk                               25-Oct-2024 19:10                3556
py3-utc-pyc-0.0.3-r9.apk                           25-Oct-2024 19:10                2827
py3-vatnumber-1.2-r9.apk                           25-Oct-2024 19:10               19072
py3-vatnumber-pyc-1.2-r9.apk                       25-Oct-2024 19:10                8836
py3-vdf-3.4-r1.apk                                 25-Oct-2024 19:10               11594
py3-vdf-pyc-3.4-r1.apk                             25-Oct-2024 19:10               16954
py3-venusian-3.1.1-r0.apk                          07-Dec-2024 21:14               14700
py3-venusian-pyc-3.1.1-r0.apk                      07-Dec-2024 21:14               12798
py3-virtualenvwrapper-6.1.1-r0.apk                 01-Sep-2025 00:26               22151
py3-virtualenvwrapper-pyc-6.1.1-r0.apk             01-Sep-2025 00:26               12049
py3-visitor-0.1.3-r7.apk                           25-Oct-2024 19:10                4732
py3-visitor-pyc-0.1.3-r7.apk                       25-Oct-2024 19:10                2687
py3-ward-0.67.0_beta0-r2.apk                       25-Oct-2024 19:10               41687
py3-ward-pyc-0.67.0_beta0-r2.apk                   25-Oct-2024 19:10               81463
py3-wbdata-1.0.0-r1.apk                            25-Oct-2024 19:10               18937
py3-wbdata-pyc-1.0.0-r1.apk                        25-Oct-2024 19:10               20046
py3-webrtcvad-2.0.10-r1.apk                        25-Oct-2024 19:10               24968
py3-webrtcvad-pyc-2.0.10-r1.apk                    25-Oct-2024 19:10                2858
py3-wg-netns-2.3.1-r1.apk                          25-Oct-2024 19:10                7747
py3-wg-netns-pyc-2.3.1-r1.apk                      25-Oct-2024 19:10               13709
py3-wgconfig-1.1.0-r0.apk                          29-Jan-2025 06:50               22494
py3-wgconfig-pyc-1.1.0-r0.apk                      29-Jan-2025 06:50               11977
py3-wifi-0.3.8-r7.apk                              25-Oct-2024 19:10               13321
py3-wifi-pyc-0.3.8-r7.apk                          25-Oct-2024 19:10               14069
py3-wikipedia-1.4.0-r0.apk                         14-Sep-2025 06:33               12506
py3-wikipedia-doc-1.4.0-r0.apk                     14-Sep-2025 06:33                4244
py3-wikipedia-pyc-1.4.0-r0.apk                     14-Sep-2025 06:33               16593
py3-winacl-0.1.9-r1.apk                            29-May-2025 12:00               84670
py3-winacl-pyc-0.1.9-r1.apk                        29-May-2025 12:00              134386
py3-wsgiprox-1.5.2-r1.apk                          25-Oct-2024 19:10               17079
py3-wsgiprox-pyc-1.5.2-r1.apk                      25-Oct-2024 19:10               28842
py3-wstools-0.4.10-r7.apk                          25-Oct-2024 19:10               54482
py3-wstools-pyc-0.4.10-r7.apk                      25-Oct-2024 19:10              113411
py3-wtf-peewee-3.0.6-r0.apk                        25-Oct-2024 19:10               13016
py3-wtf-peewee-pyc-3.0.6-r0.apk                    25-Oct-2024 19:10               25249
py3-x-wr-timezone-2.0.1-r0.apk                     09-Feb-2025 15:13               11933
py3-x-wr-timezone-pyc-2.0.1-r0.apk                 09-Feb-2025 15:13                7267
py3-xapp-2.4.2-r0.apk                              12-Nov-2024 11:04               34415
py3-xdoctest-1.2.0-r0.apk                          21-Nov-2024 13:31              319995
py3-xlwt-1.3.0-r10.apk                             13-May-2025 22:00               95931
py3-xlwt-pyc-1.3.0-r10.apk                         13-May-2025 22:00              168845
py3-xsdata-25.7-r0.apk                             07-Jul-2025 20:47              194226
py3-xsdata-pyc-25.7-r0.apk                         07-Jul-2025 20:47              402121
py3-yapsy-1.12.2-r7.apk                            25-Oct-2024 19:10               33017
py3-yapsy-pyc-1.12.2-r7.apk                        25-Oct-2024 19:10               48270
py3-yara-4.5.4-r0.apk                              13-Aug-2025 15:32               18530
py3-yosys-0.42-r1.apk                              12-Jun-2025 15:22                1864
py3-youtube-search-1.6.6-r5.apk                    14-May-2025 18:17               79949
py3-youtube-search-pyc-1.6.6-r5.apk                14-May-2025 18:17               97588
py3-zimscraperlib-3.4.0-r0.apk                     06-Nov-2024 09:41               52854
py3-zimscraperlib-pyc-3.4.0-r0.apk                 06-Nov-2024 09:41               70013
py3-zipfile2-0.0.12-r0.apk                         25-Oct-2024 19:10               46236
py3-zipfile2-pyc-0.0.12-r0.apk                     25-Oct-2024 19:10               29622
py3-zope-configuration-5.0.1-r2.apk                25-Oct-2024 19:10               40076
py3-zope-configuration-pyc-5.0.1-r2.apk            25-Oct-2024 19:10               49691
py3-zope-i18nmessageid-6.1.0-r2.apk                25-Oct-2024 19:10               16939
py3-zope-i18nmessageid-pyc-6.1.0-r2.apk            25-Oct-2024 19:10                8177
py3-zope-schema-7.0.1-r3.apk                       25-Oct-2024 19:10               46345
py3-zope-schema-pyc-7.0.1-r3.apk                   25-Oct-2024 19:10               62534
pyinfra-3.3.1-r0.apk                               16-Jul-2025 08:48              196589
pyinfra-pyc-3.3.1-r0.apk                           16-Jul-2025 08:48              369695
pympress-1.8.5-r1.apk                              25-Oct-2024 19:10              185518
pympress-doc-1.8.5-r1.apk                          25-Oct-2024 19:10              356788
pympress-lang-1.8.5-r1.apk                         25-Oct-2024 19:10               57650
pympress-pyc-1.8.5-r1.apk                          25-Oct-2024 19:10              186564
pyonji-0.1.0-r9.apk                                06-Sep-2025 16:20             2869395
pypy-7.3.12-r0.apk                                 25-Oct-2024 19:10            18771784
pypy-bootstrap-7.3.12-r0.apk                       25-Oct-2024 19:10            19581983
pypy-dev-7.3.12-r0.apk                             25-Oct-2024 19:10               79978
pypy-tkinter-7.3.12-r0.apk                         25-Oct-2024 19:10              464257
pypy3-7.3.12-r0.apk                                25-Oct-2024 19:10            18289837
pypy3-dev-7.3.12-r0.apk                            25-Oct-2024 19:10              569626
pypy3-pyc-7.3.12-r0.apk                            25-Oct-2024 19:10             6137364
pypy3-tests-7.3.12-r0.apk                          25-Oct-2024 19:10            13530351
pypy3-tkinter-7.3.12-r0.apk                        25-Oct-2024 19:10              309170
pypykatz-0.6.11-r1.apk                             29-May-2025 12:00              322960
pypykatz-pyc-0.6.11-r1.apk                         29-May-2025 12:00              738431
pyradio-0.9.3.11-r0.apk                            25-Oct-2024 19:10              891699
pyradio-doc-0.9.3.11-r0.apk                        25-Oct-2024 19:10              115358
pyradio-pyc-0.9.3.11-r0.apk                        25-Oct-2024 19:10              829773
qadwaitadecorations-0.1.6-r2.apk                   12-Sep-2025 17:14               48074
qbittorrent-cli-2.2.0-r3.apk                       06-Sep-2025 16:20             5626426
qdjango-0.6.2-r1.apk                               25-Oct-2024 19:10              102717
qdjango-dev-0.6.2-r1.apk                           25-Oct-2024 19:10               14392
qflipper-1.3.3-r1.apk                              25-Oct-2024 19:10              473136
qflipper-gui-1.3.3-r1.apk                          25-Oct-2024 19:10             1102385
qgis-3.40.11-r0.apk                                27-Sep-2025 21:02            47435787
qgis-dev-3.40.11-r0.apk                            27-Sep-2025 21:02             3674435
qgis-doc-3.40.11-r0.apk                            27-Sep-2025 21:02                3126
qgis-grass-3.40.11-r0.apk                          27-Sep-2025 21:02             1413947
qgis-lang-3.40.11-r0.apk                           27-Sep-2025 21:02            34749883
qgis-server-3.40.11-r0.apk                         27-Sep-2025 21:02             1718323
qman-1.5.0-r0.apk                                  12-Aug-2025 18:38               63444
qman-doc-1.5.0-r0.apk                              12-Aug-2025 18:38                9760
qmk-cli-1.1.8-r0.apk                               01-Jun-2025 16:52               15333
qmk-cli-pyc-1.1.8-r0.apk                           01-Jun-2025 16:52               22415
qml-box2d-0_git20180406-r0.apk                     25-Oct-2024 19:10              141064
qoi-0.0.0_git20230312-r0.apk                       25-Oct-2024 19:10                1502
qoi-dev-0.0.0_git20230312-r0.apk                   25-Oct-2024 19:10                6996
qoiconv-0.0.0_git20230312-r0.apk                   25-Oct-2024 19:10               30492
qownnotes-23.6.6-r0.apk                            25-Oct-2024 19:10             2436114
qownnotes-lang-23.6.6-r0.apk                       25-Oct-2024 19:10             4619636
qpdfview-0.5-r2.apk                                29-Jan-2025 19:49             1036947
qpdfview-doc-0.5-r2.apk                            29-Jan-2025 19:49                4349
qperf-0.4.11-r2.apk                                13-May-2025 22:00               34741
qperf-doc-0.4.11-r2.apk                            13-May-2025 22:00                5699
qqc2-suru-style-0.20230206-r1.apk                  25-Oct-2024 19:10              178235
qspectrumanalyzer-2.2.0-r5.apk                     25-Oct-2024 19:10               55131
qspectrumanalyzer-pyc-2.2.0-r5.apk                 25-Oct-2024 19:10               63785
qsstv-9.5.8-r2.apk                                 25-Oct-2024 19:10              970088
qstardict-2.0.2-r1.apk                             24-Nov-2024 07:46              461869
qstardict-doc-2.0.2-r1.apk                         24-Nov-2024 07:46               10895
qsynth-1.0.2-r0.apk                                25-Oct-2024 19:10              434030
qsynth-doc-1.0.2-r0.apk                            25-Oct-2024 19:10                4470
qt-creator-17.0.2-r0.apk                           02-Oct-2025 16:59            47954359
qt-creator-dbg-17.0.2-r0.apk                       02-Oct-2025 16:59           473455756
qt-wayland-shell-helpers-0.1.1-r3.apk              25-Oct-2024 19:10               14763
qt-wayland-shell-helpers-dev-0.1.1-r3.apk          25-Oct-2024 19:10                4033
qt5ct-1.8-r0.apk                                   25-Oct-2024 19:10              234948
qt5ct-dev-1.8-r0.apk                               25-Oct-2024 19:10                1523
qt6ct-0.9-r5.apk                                   12-Sep-2025 17:14              199127
qtile-0.33.0-r0.apk                                17-Sep-2025 07:51              480197
qtile-pyc-0.33.0-r0.apk                            17-Sep-2025 07:51              878533
qtmir-0.7.2_git20250407-r3.apk                     29-Sep-2025 10:29              519355
qtmir-dev-0.7.2_git20250407-r3.apk                 29-Sep-2025 10:29                6798
qtox-1.17.6-r6.apk                                 25-Oct-2024 19:10             5308443
qtpass-1.4.0-r0.apk                                25-Oct-2024 19:10              430750
qtpass-doc-1.4.0-r0.apk                            25-Oct-2024 19:10                2189
quakespasm-0.96.3-r0.apk                           25-Oct-2024 19:10              496810
qucs-s-1.1.0-r1.apk                                25-Oct-2024 19:10             3451159
qucs-s-doc-1.1.0-r1.apk                            25-Oct-2024 19:10                2417
qucs-s-lang-1.1.0-r1.apk                           25-Oct-2024 19:10              885306
queercat-1.0.0-r0.apk                              25-Oct-2024 19:10                8078
quickemu-4.9.7-r0.apk                              15-Jun-2025 20:03               57579
quickemu-doc-4.9.7-r0.apk                          15-Jun-2025 20:03               25232
quodlibet-4.7.1-r0.apk                             16-Sep-2025 18:53             1091033
quodlibet-bash-completion-4.7.1-r0.apk             16-Sep-2025 18:53                4608
quodlibet-doc-4.7.1-r0.apk                         16-Sep-2025 18:53                8867
quodlibet-lang-4.7.1-r0.apk                        16-Sep-2025 18:53             1487943
quodlibet-pyc-4.7.1-r0.apk                         16-Sep-2025 18:53             1902379
quodlibet-zsh-completion-4.7.1-r0.apk              16-Sep-2025 18:53                2776
r2ghidra-6.0.2-r0.apk                              28-Sep-2025 22:56            10615442
ra-multiplex-0.2.5-r0.apk                          12-Aug-2025 16:07              951538
ra-multiplex-doc-0.2.5-r0.apk                      12-Aug-2025 16:07                2505
radarr-5.27.5.10198-r0.apk                         23-Sep-2025 20:43            28385601
radarr-openrc-5.27.5.10198-r0.apk                  23-Sep-2025 20:43                2094
raku-base64-0.1.0-r1.apk                           29-Aug-2025 08:00               20528
raku-base64-doc-0.1.0-r1.apk                       29-Aug-2025 08:00                2840
raku-cbor-simple-0.1.4-r1.apk                      29-Aug-2025 08:00              178164
raku-cbor-simple-doc-0.1.4-r1.apk                  29-Aug-2025 08:00                7114
raku-clifford-7.0.1_git20250228-r1.apk             29-Aug-2025 08:00              707973
raku-clifford-doc-7.0.1_git20250228-r1.apk         29-Aug-2025 08:00                2994
raku-cro-0.8.10-r1.apk                             29-Aug-2025 08:00             2660607
raku-cro-core-0.8.10-r1.apk                        29-Aug-2025 08:00              799367
raku-cro-core-doc-0.8.10-r1.apk                    29-Aug-2025 08:00                2435
raku-cro-doc-0.8.10-r1.apk                         29-Aug-2025 08:00                2833
raku-cro-http-0.8.10-r1.apk                        29-Aug-2025 08:00             5584715
raku-cro-http-doc-0.8.10-r1.apk                    29-Aug-2025 08:00                2913
raku-cro-tls-0.8.10-r1.apk                         29-Aug-2025 08:00               42500
raku-cro-tls-doc-0.8.10-r1.apk                     29-Aug-2025 08:00                2241
raku-cro-webapp-0.10.0-r1.apk                      29-Aug-2025 08:00             4764404
raku-cro-webapp-doc-0.10.0-r1.apk                  29-Aug-2025 08:00                2418
raku-cro-websocket-0.8.10-r1.apk                   29-Aug-2025 08:00             1846168
raku-cro-websocket-doc-0.8.10-r1.apk               29-Aug-2025 08:00                2473
raku-crypt-random-0.4.1-r1.apk                     29-Aug-2025 08:00              112721
raku-crypt-random-doc-0.4.1-r1.apk                 29-Aug-2025 08:00                2601
raku-datetime-parse-0.9.3-r1.apk                   29-Aug-2025 08:00               49571
raku-datetime-parse-doc-0.9.3-r1.apk               29-Aug-2025 08:00                3025
raku-dbiish-0.6.7-r1.apk                           29-Aug-2025 08:00             1973746
raku-dbiish-doc-0.6.7-r1.apk                       29-Aug-2025 08:00               13994
raku-digest-1.1.0-r1.apk                           29-Aug-2025 08:00              880470
raku-digest-doc-1.1.0-r1.apk                       29-Aug-2025 08:00                2861
raku-digest-hmac-1.0.6_git20231116-r1.apk          29-Aug-2025 08:00                7302
raku-digest-hmac-doc-1.0.6_git20231116-r1.apk      29-Aug-2025 08:00                3382
raku-digest-sha1-native-0.06-r1.apk                29-Aug-2025 08:00               48855
raku-digest-sha1-native-doc-0.06-r1.apk            29-Aug-2025 08:00                2462
raku-distribution-builder-makefromjson-0.6-r1.apk  29-Aug-2025 08:00               42355
raku-distribution-builder-makefromjson-doc-0.6-..> 29-Aug-2025 08:00                2410
raku-docker-file-1.1-r1.apk                        29-Aug-2025 08:00              134168
raku-docker-file-doc-1.1-r1.apk                    29-Aug-2025 08:00                2579
raku-file-find-0.2.1-r1.apk                        29-Aug-2025 08:00               17428
raku-file-find-doc-0.2.1-r1.apk                    29-Aug-2025 08:00                4332
raku-file-ignore-1.2-r1.apk                        29-Aug-2025 08:00               47558
raku-file-ignore-doc-1.2-r1.apk                    29-Aug-2025 08:00                4274
raku-file-temp-0.0.12-r1.apk                       29-Aug-2025 08:00               45642
raku-file-temp-doc-0.0.12-r1.apk                   29-Aug-2025 08:00                2904
raku-file-which-1.0.4-r1.apk                       29-Aug-2025 08:00              109005
raku-file-which-doc-1.0.4-r1.apk                   29-Aug-2025 08:00                3688
raku-functionalparsers-0.1.10-r1.apk               29-Aug-2025 08:00             1914092
raku-functionalparsers-doc-0.1.10-r1.apk           29-Aug-2025 08:00                8964
raku-html-escape-0.0.1-r1.apk                      29-Aug-2025 08:00               12338
raku-html-escape-doc-0.0.1-r1.apk                  29-Aug-2025 08:00                2581
raku-http-hpack-1.0.3-r1.apk                       29-Aug-2025 08:00               90155
raku-http-hpack-doc-1.0.3-r1.apk                   29-Aug-2025 08:00                3305
raku-if-0.1.3-r1.apk                               29-Aug-2025 08:00               28556
raku-if-doc-0.1.3-r1.apk                           29-Aug-2025 08:00                2686
raku-io-path-childsecure-1.2-r1.apk                29-Aug-2025 08:00               10757
raku-io-path-childsecure-doc-1.2-r1.apk            29-Aug-2025 08:00                2927
raku-io-socket-async-ssl-0.8.2-r1.apk              29-Aug-2025 08:00              283386
raku-io-socket-async-ssl-doc-0.8.2-r1.apk          29-Aug-2025 08:00                6304
raku-json-class-0.0.21-r1.apk                      29-Aug-2025 08:00               49376
raku-json-class-doc-0.0.21-r1.apk                  29-Aug-2025 08:00                3405
raku-json-jwt-1.1.2-r1.apk                         29-Aug-2025 08:00               37065
raku-json-jwt-doc-1.1.2-r1.apk                     29-Aug-2025 08:00                3295
raku-json-marshal-0.0.25-r1.apk                    29-Aug-2025 08:00               72825
raku-json-marshal-doc-0.0.25-r1.apk                29-Aug-2025 08:00                3750
raku-json-name-0.0.7-r1.apk                        29-Aug-2025 08:00               37836
raku-json-name-doc-0.0.7-r1.apk                    29-Aug-2025 08:00                2850
raku-json-optin-0.0.2-r1.apk                       29-Aug-2025 08:00               22673
raku-json-optin-doc-0.0.2-r1.apk                   29-Aug-2025 08:00                2801
raku-json-unmarshal-0.18-r1.apk                    29-Aug-2025 08:00               74283
raku-json-unmarshal-doc-0.18-r1.apk                29-Aug-2025 08:00                3405
raku-librarymake-1.0.5-r1.apk                      29-Aug-2025 08:00               38680
raku-librarymake-doc-1.0.5-r1.apk                  29-Aug-2025 08:00                4609
raku-log-timeline-0.5.2-r1.apk                     29-Aug-2025 08:00              287116
raku-log-timeline-doc-0.5.2-r1.apk                 29-Aug-2025 08:00                5539
raku-meta6-0.0.30-r1.apk                           29-Aug-2025 08:00              111362
raku-meta6-doc-0.0.30-r1.apk                       29-Aug-2025 08:00                3298
raku-monad-0.1.2-r1.apk                            29-Aug-2025 08:00             3778148
raku-monad-doc-0.1.2-r1.apk                        29-Aug-2025 08:00                3471
raku-nativehelpers-blob-0.1.12-r1.apk              29-Aug-2025 08:00              201920
raku-nativehelpers-blob-doc-0.1.12-r1.apk          29-Aug-2025 08:00                3485
raku-nativelibs-0.0.9-r1.apk                       29-Aug-2025 08:00               88457
raku-nativelibs-doc-0.0.9-r1.apk                   29-Aug-2025 08:00                2681
raku-oo-monitors-1.1.5-r1.apk                      29-Aug-2025 08:00               13335
raku-oo-monitors-doc-1.1.5-r1.apk                  29-Aug-2025 08:00                2962
raku-protocol-mqtt-0.0.4-r1.apk                    29-Aug-2025 08:00              229875
raku-protocol-mqtt-doc-0.0.4-r1.apk                29-Aug-2025 08:00                2395
raku-shell-command-1.1-r1.apk                      29-Aug-2025 08:00               41424
raku-shell-command-doc-1.1-r1.apk                  29-Aug-2025 08:00                3623
raku-system-query-0.1.6-r1.apk                     29-Aug-2025 08:00               17127
raku-system-query-doc-0.1.6-r1.apk                 29-Aug-2025 08:00                2573
raku-terminal-quickcharts-0.0.2-r1.apk             29-Aug-2025 08:00              222067
raku-terminal-quickcharts-doc-0.0.2-r1.apk         29-Aug-2025 08:00                3162
raku-text-markdown-1.1.1_git20221121-r1.apk        29-Aug-2025 08:00              107852
raku-text-markdown-doc-1.1.1_git20221121-r1.apk    29-Aug-2025 08:00                3586
raku-time-crontab-1.0.0-r1.apk                     29-Aug-2025 08:00              125797
raku-time-crontab-doc-1.0.0-r1.apk                 29-Aug-2025 08:00                3482
raku-tinyfloats-0.0.5-r1.apk                       29-Aug-2025 08:00               35596
raku-tinyfloats-doc-0.0.5-r1.apk                   29-Aug-2025 08:00                4193
randrctl-1.10.0-r0.apk                             20-Nov-2024 00:45               28597
randrctl-pyc-1.10.0-r0.apk                         20-Nov-2024 00:45               31934
rankwidth-0.9-r3.apk                               25-Oct-2024 19:10                5795
rankwidth-dev-0.9-r3.apk                           25-Oct-2024 19:10                3009
rankwidth-doc-0.9-r3.apk                           25-Oct-2024 19:10                3101
rankwidth-libs-0.9-r3.apk                          25-Oct-2024 19:10                5302
rankwidth-static-0.9-r3.apk                        25-Oct-2024 19:10                4392
raspberrypi-usbboot-20250227-r0.apk                09-Jun-2025 08:41              909399
rathole-0.5.0-r0.apk                               25-Oct-2024 19:10             1398621
rattler-build-0.18.0-r0.apk                        25-Oct-2024 19:10             5777620
rattler-build-bash-completion-0.18.0-r0.apk        25-Oct-2024 19:10                3705
rattler-build-doc-0.18.0-r0.apk                    25-Oct-2024 19:10                6885
rattler-build-fish-completion-0.18.0-r0.apk        25-Oct-2024 19:10                4829
rattler-build-zsh-completion-0.18.0-r0.apk         25-Oct-2024 19:10                5570
rauc-1.10.1-r0.apk                                 25-Oct-2024 19:10              145660
rauc-doc-1.10.1-r0.apk                             25-Oct-2024 19:10                4267
rauc-service-1.10.1-r0.apk                         25-Oct-2024 19:10                3833
razercfg-0.42-r7.apk                               25-Oct-2024 19:10               79070
razercfg-gui-0.42-r7.apk                           25-Oct-2024 19:10               19429
razercfg-openrc-0.42-r7.apk                        25-Oct-2024 19:10                1765
razercfg-pyc-0.42-r7.apk                           25-Oct-2024 19:10               36477
rclone-browser-1.8.0-r1.apk                        25-Oct-2024 19:10              330624
rdedup-3.2.1-r5.apk                                25-Oct-2024 19:10              771112
rdrview-0.1.3-r0.apk                               22-Feb-2025 19:23               28772
rdrview-doc-0.1.3-r0.apk                           22-Feb-2025 19:23                3782
reaction-2.2.1-r0.apk                              23-Sep-2025 21:13             1391052
reaction-openrc-2.2.1-r0.apk                       23-Sep-2025 21:13                1873
reaction-tools-2.2.1-r0.apk                        23-Sep-2025 21:13                6724
readosm-1.1.0-r3.apk                               13-May-2025 22:00               15294
readosm-dev-1.1.0-r3.apk                           13-May-2025 22:00               20551
reap-0.2-r0.apk                                    13-Sep-2025 14:13                5393
reap-doc-0.2-r0.apk                                13-Sep-2025 14:13                2730
reason-3.8.2-r1.apk                                25-Oct-2024 19:10            26995021
reason-rtop-3.8.2-r1.apk                           25-Oct-2024 19:10            25446623
reaver-wps-fork-t6x-1.6.6-r1.apk                   25-Oct-2024 19:10              455873
recoll-1.37.5-r1.apk                               25-Oct-2024 19:10             2796588
recoll-dev-1.37.5-r1.apk                           25-Oct-2024 19:10               54328
recoll-doc-1.37.5-r1.apk                           25-Oct-2024 19:10               21647
recyclarr-7.4.1-r0.apk                             24-Feb-2025 11:55             2716905
recyclarr-doc-7.4.1-r0.apk                         24-Feb-2025 11:55                2264
redhat-fonts-4.1.0-r1.apk                          10-Aug-2025 01:02              828404
refine-0.6.0-r0.apk                                26-Sep-2025 12:14               34634
refine-lang-0.6.0-r0.apk                           26-Sep-2025 12:14               33731
reg-0.16.1-r31.apk                                 06-Sep-2025 16:20             4617791
regal-0.33.1-r3.apk                                06-Sep-2025 16:20            11817827
regal-bash-completion-0.33.1-r3.apk                06-Sep-2025 16:20                6241
regal-fish-completion-0.33.1-r3.apk                06-Sep-2025 16:20                4423
regal-zsh-completion-0.33.1-r3.apk                 06-Sep-2025 16:20                4139
regclient-0.9.2-r0.apk                             28-Sep-2025 15:48            13573279
remake-1.5-r1.apk                                  25-Oct-2024 19:10              141040
remake-dev-1.5-r1.apk                              25-Oct-2024 19:10                3001
remake-doc-1.5-r1.apk                              25-Oct-2024 19:10              206491
remake-make-1.5-r1.apk                             25-Oct-2024 19:10                1568
remco-0.12.5-r3.apk                                06-Sep-2025 16:20             9606135
remco-doc-0.12.5-r3.apk                            06-Sep-2025 16:20                2312
remco-openrc-0.12.5-r3.apk                         06-Sep-2025 16:20                1741
remind-caldav-0.8.0-r4.apk                         25-Oct-2024 19:10               18108
remind-caldav-pyc-0.8.0-r4.apk                     25-Oct-2024 19:10                6286
repgrep-0.15.0-r0.apk                              25-Oct-2024 19:10             1214193
repgrep-bash-completion-0.15.0-r0.apk              25-Oct-2024 19:10                1677
repgrep-doc-0.15.0-r0.apk                          25-Oct-2024 19:10                6647
repgrep-fish-completion-0.15.0-r0.apk              25-Oct-2024 19:10                4247
repgrep-zsh-completion-0.15.0-r0.apk               25-Oct-2024 19:10                1687
repo-2.53-r0.apk                                   26-Mar-2025 11:51               17187
repo-doc-2.53-r0.apk                               26-Mar-2025 11:51               39382
repowerd-2023.07-r3.apk                            17-Feb-2025 15:08              879618
repowerd-openrc-2023.07-r3.apk                     17-Feb-2025 15:08                1715
reprotest-0.7.30-r1.apk                            10-Aug-2025 01:02               82215
reprotest-pyc-0.7.30-r1.apk                        10-Aug-2025 01:02              105404
resources-1.8.0-r1.apk                             09-May-2025 21:39             2270257
resources-lang-1.8.0-r1.apk                        09-May-2025 21:39              128603
responder-3.1.5.0-r0.apk                           25-Oct-2024 19:10              767986
restart-services-0.17.0-r0.apk                     25-Oct-2024 19:10               11785
restart-services-doc-0.17.0-r0.apk                 25-Oct-2024 19:10                6021
restic.mk-0.4.0-r0.apk                             25-Oct-2024 19:10                2979
restinio-0.6.19-r1.apk                             14-Dec-2024 19:38                1261
restinio-dev-0.6.19-r1.apk                         14-Dec-2024 19:38              274275
rezolus-2.11.1-r3.apk                              25-Oct-2024 19:10              877734
rezolus-doc-2.11.1-r3.apk                          25-Oct-2024 19:10                3438
rezolus-openrc-2.11.1-r3.apk                       25-Oct-2024 19:10                2117
rgxg-0.1.2-r2.apk                                  25-Oct-2024 19:10               14984
rgxg-dev-0.1.2-r2.apk                              25-Oct-2024 19:10                3607
rgxg-doc-0.1.2-r2.apk                              25-Oct-2024 19:10               12613
rhasspy-nlu-0.4.0-r3.apk                           25-Oct-2024 19:10               44635
rhasspy-nlu-pyc-0.4.0-r3.apk                       25-Oct-2024 19:10               74616
riemann-cli-0.8.0-r2.apk                           25-Oct-2024 19:10              537427
rinetd-0.73-r0.apk                                 25-Oct-2024 19:10               15225
rinetd-doc-0.73-r0.apk                             25-Oct-2024 19:10               16842
rinetd-openrc-0.73-r0.apk                          25-Oct-2024 19:10                1756
rio-0.2.31-r0.apk                                  29-Sep-2025 19:07            10313969
rio-doc-0.2.31-r0.apk                              29-Sep-2025 19:07                2337
rio-terminfo-0.2.31-r0.apk                         29-Sep-2025 19:07                3496
ripasso-cursive-0.7.0-r0.apk                       23-May-2025 19:48             3059705
river-bedload-0.1.1_git20250823-r0.apk             21-Sep-2025 07:18              133632
river-bedload-doc-0.1.1_git20250823-r0.apk         21-Sep-2025 07:18                2361
river-bedload-zsh-completion-0.1.1_git20250823-..> 21-Sep-2025 07:18                1918
river-luatile-0.1.4-r0.apk                         19-May-2025 12:47              240014
river-shifttags-0.2.1-r1.apk                       13-May-2025 22:00                6383
river-shifttags-doc-0.2.1-r1.apk                   13-May-2025 22:00                2429
rivercarro-0.5.0_git20250822-r0.apk                21-Sep-2025 07:18              148870
rivercarro-doc-0.5.0_git20250822-r0.apk            21-Sep-2025 07:18                3180
rizin-0.8.1-r0.apk                                 30-Jun-2025 16:49             2803959
rizin-cutter-2.4.1-r0.apk                          30-Jun-2025 16:49             2597295
rizin-cutter-dev-2.4.1-r0.apk                      30-Jun-2025 16:49              105677
rizin-dev-0.8.1-r0.apk                             30-Jun-2025 16:49              330312
rizin-doc-0.8.1-r0.apk                             30-Jun-2025 16:49               19718
rizin-libs-0.8.1-r0.apk                            30-Jun-2025 16:49             5245861
rkdeveloptool-1.1.0-r1.apk                         25-Oct-2024 19:10               57265
rkdeveloptool-doc-1.1.0-r1.apk                     25-Oct-2024 19:10                3023
rke-1.4.3-r18.apk                                  06-Sep-2025 16:20            19737472
rke-doc-1.4.3-r18.apk                              06-Sep-2025 16:20                3027
rmlint-2.10.2-r2.apk                               25-Oct-2024 19:10              134637
rmlint-doc-2.10.2-r2.apk                           25-Oct-2024 19:10               18236
rmlint-lang-2.10.2-r2.apk                          25-Oct-2024 19:10               19353
rmlint-shredder-2.10.2-r2.apk                      25-Oct-2024 19:10               98267
rmlint-shredder-pyc-2.10.2-r2.apk                  25-Oct-2024 19:10              127484
rocm-cmake-6.4.3-r0.apk                            18-Aug-2025 11:32               29122
rocm-cmake-doc-6.4.3-r0.apk                        18-Aug-2025 11:32                2483
rocm-core-6.4.3-r0.apk                             18-Aug-2025 11:32                8151
rocm-core-dev-6.4.3-r0.apk                         18-Aug-2025 11:32                6747
rocm-core-doc-6.4.3-r0.apk                         18-Aug-2025 11:32                2487
rofi-json-menu-0.2.0-r1.apk                        25-Oct-2024 19:10                5690
rofi-pass-2.0.2-r2.apk                             25-Oct-2024 19:10                9052
rofi-pass-doc-2.0.2-r2.apk                         25-Oct-2024 19:10                5069
roll-2.6.1-r0.apk                                  26-May-2025 23:03               12977
roll-bash-completion-2.6.1-r0.apk                  26-May-2025 23:03                1920
roll-doc-2.6.1-r0.apk                              26-May-2025 23:03               11604
rosdep-0.19.0-r6.apk                               25-Oct-2024 19:10               67716
rosdep-pyc-0.19.0-r6.apk                           25-Oct-2024 19:10              121850
rosenpass-0.2.2-r1.apk                             01-Feb-2025 18:23              918000
rot8-1.0.0-r0.apk                                  28-Jul-2025 22:06              734337
rot8-doc-1.0.0-r0.apk                              28-Jul-2025 22:06                2279
rpg-cli-1.2.0-r0.apk                               25-Oct-2024 19:10              559536
rpi-imager-1.9.0-r1.apk                            24-Jul-2025 08:08              713039
rpi-imager-doc-1.9.0-r1.apk                        24-Jul-2025 08:08                3184
rpicam-apps-1.8.1-r2.apk                           27-Sep-2025 13:54              459839
rpicam-apps-doc-1.8.1-r2.apk                       27-Sep-2025 13:54                2423
rss-email-0.5.1-r0.apk                             10-Aug-2025 11:21             2332411
rss-email-doc-0.5.1-r0.apk                         10-Aug-2025 11:21                6548
rsstail-2.2-r0.apk                                 13-Feb-2025 07:17                8784
rsstail-doc-2.2-r0.apk                             13-Feb-2025 07:17                2819
rt5-5.0.8-r0.apk                                   15-Jun-2025 04:44            17738544
rt6-6.0.1-r0.apk                                   12-Aug-2025 07:31            12858921
rtl-power-fftw-20200601-r4.apk                     25-Oct-2024 19:10               61858
rtl-power-fftw-doc-20200601-r4.apk                 25-Oct-2024 19:10                8353
rtl8812au-src-5.6.4.2_git20250530-r0.apk           31-May-2025 16:23             2734845
rtl88x2bu-src-5.13.1_git20230711-r0.apk            25-Oct-2024 19:10             4189229
rtmidi-6.0.0-r0.apk                                25-Oct-2024 19:10               30657
rtmidi-dev-6.0.0-r0.apk                            25-Oct-2024 19:10               14461
rtptools-1.22-r2.apk                               25-Oct-2024 19:10               38351
rtptools-doc-1.22-r2.apk                           25-Oct-2024 19:10               12912
rtw89-src-7_p20230725-r0.apk                       25-Oct-2024 19:10              776973
ruby-ansi-1.5.0-r0.apk                             06-Jul-2025 18:24               26499
ruby-appraisal-2.5.0-r0.apk                        25-May-2025 23:52               11131
ruby-appraisal-doc-2.5.0-r0.apk                    25-May-2025 23:52                2367
ruby-benchmark-ips-2.14.0-r0.apk                   25-May-2025 23:54               14677
ruby-benchmark-ips-doc-2.14.0-r0.apk               25-May-2025 23:54                2321
ruby-build-20250925-r0.apk                         28-Sep-2025 15:45               95272
ruby-build-doc-20250925-r0.apk                     28-Sep-2025 15:45                4907
ruby-build-runtime-20250925-r0.apk                 28-Sep-2025 15:45                1340
ruby-byebug-11.1.3-r1.apk                          03-Aug-2025 13:48              128100
ruby-coderay-1.1.3-r0.apk                          25-May-2025 23:56               91207
ruby-coderay-doc-1.1.3-r0.apk                      25-May-2025 23:56                2337
ruby-crack-1.0.0-r0.apk                            18-Sep-2025 02:58                2381
ruby-crack-doc-1.0.0-r0.apk                        18-Sep-2025 02:58                2301
ruby-dry-inflector-1.1.0-r1.apk                    03-May-2025 20:03                8437
ruby-dry-inflector-doc-1.1.0-r1.apk                03-May-2025 20:03                2313
ruby-event_emitter-0.2.6-r0.apk                    26-May-2025 00:00                3150
ruby-event_emitter-doc-0.2.6-r0.apk                26-May-2025 00:00                2321
ruby-facter-4.10.0-r0.apk                          28-Jul-2025 02:04              223326
ruby-fast_gettext-3.1.0-r0.apk                     25-May-2025 23:58               22670
ruby-hashdiff-1.1.1-r1.apk                         03-May-2025 20:03                8620
ruby-hashdiff-doc-1.1.1-r1.apk                     03-May-2025 20:03                2298
ruby-hoe-4.2.3-r0.apk                              28-Jul-2025 02:06               28311
ruby-libguestfs-1.56.1-r0.apk                      23-Jul-2025 04:04              103245
ruby-mail-2.8.1-r0.apk                             06-Sep-2025 16:20              403920
ruby-minitest-autotest-1.1.1-r0.apk                06-Jul-2025 18:24               14560
ruby-minitest-focus-1.3.1-r0.apk                   06-Jul-2025 18:24                5282
ruby-minitest-power_assert-0.3.1-r0.apk            06-Jul-2025 18:24                2279
ruby-minitest-proveit-1.0.0-r0.apk                 06-Jul-2025 18:24                4880
ruby-minitest-reporters-1.7.1-r0.apk               06-Jul-2025 18:24               20569
ruby-minitest-server-1.0.8-r0.apk                  06-Jul-2025 18:24                5520
ruby-path_expander-1.1.3-r0.apk                    06-Jul-2025 18:24                6570
ruby-ruby-progressbar-1.13.0-r0.apk                06-Jul-2025 18:24               25516
ruff-lsp-0.0.62-r0.apk                             11-Mar-2025 03:55               21782
ruff-lsp-pyc-0.0.62-r0.apk                         11-Mar-2025 03:55               35826
runst-0.1.7-r0.apk                                 25-Oct-2024 19:10             1659808
runst-doc-0.1.7-r0.apk                             25-Oct-2024 19:10                7899
ruri-3.9.2-r0.apk                                  12-Sep-2025 11:26              115019
ruri-doc-3.9.2-r0.apk                              12-Sep-2025 11:26                2276
rust-script-0.36.0-r0.apk                          06-Sep-2025 16:20              856562
rustdesk-server-1.1.10.3-r0.apk                    25-Oct-2024 19:10             2476495
rustdesk-server-openrc-1.1.10.3-r0.apk             25-Oct-2024 19:10                2301
rustic-0.9.3-r0.apk                                25-Oct-2024 19:10             6035642
rustic-bash-completion-0.9.3-r0.apk                25-Oct-2024 19:10                8818
rustic-fish-completion-0.9.3-r0.apk                25-Oct-2024 19:10               17069
rustic-zsh-completion-0.9.3-r0.apk                 25-Oct-2024 19:10               13342
rustical-0.9.8-r0.apk                              19-Sep-2025 10:53             4370806
rustscan-2.3.0-r0.apk                              25-Oct-2024 19:10             1441185
ruuvi-prometheus-0.1.9-r5.apk                      06-Sep-2025 16:20             3331172
ruuvi-prometheus-openrc-0.1.9-r5.apk               06-Sep-2025 16:20                1714
rvlprog-0.91-r2.apk                                25-Oct-2024 19:10               26264
ry-0.5.2-r1.apk                                    25-Oct-2024 19:10                4729
ry-bash-completion-0.5.2-r1.apk                    25-Oct-2024 19:10                1998
ry-zsh-completion-0.5.2-r1.apk                     25-Oct-2024 19:10                2310
rygel-45.0-r0.apk                                  14-Sep-2025 16:50              792170
rygel-dev-45.0-r0.apk                              14-Sep-2025 16:50               43680
rygel-doc-45.0-r0.apk                              14-Sep-2025 16:50                9899
rygel-lang-45.0-r0.apk                             14-Sep-2025 16:50              597629
s-dkim-sign-0.6.2-r0.apk                           25-Oct-2024 19:10               56810
s-dkim-sign-doc-0.6.2-r0.apk                       25-Oct-2024 19:10                8725
s-postgray-0.8.3-r0.apk                            25-Oct-2024 19:10               45239
s-postgray-doc-0.8.3-r0.apk                        25-Oct-2024 19:10                9812
s5cmd-2.3.0-r6.apk                                 06-Sep-2025 16:20             5005293
saait-0.8-r0.apk                                   25-Oct-2024 19:10                7499
saait-doc-0.8-r0.apk                               25-Oct-2024 19:10               13010
sacc-1.07-r1.apk                                   13-Sep-2025 01:00               16075
sacc-doc-1.07-r1.apk                               13-Sep-2025 01:00                2944
sandbar-0.1-r1.apk                                 14-May-2025 18:17               14904
sane-backend-hpaio-3.25.6-r0.apk                   02-Oct-2025 05:24               81794
satellite-1.0.0-r31.apk                            06-Sep-2025 16:20             2209525
satellite-doc-1.0.0-r31.apk                        06-Sep-2025 16:20                3087
satellite-openrc-1.0.0-r31.apk                     06-Sep-2025 16:20                1942
sauerbraten-2020.12.29-r4.apk                      22-Feb-2025 15:38           979211289
sbase-0_git20210730-r3.apk                         25-Oct-2024 19:10              123441
sbase-doc-0_git20210730-r3.apk                     25-Oct-2024 19:10               59638
sblg-0.5.11-r0.apk                                 25-Oct-2024 19:10               39814
sblg-doc-0.5.11-r0.apk                             25-Oct-2024 19:10             1410348
sblim-sfcc-2.2.8-r3.apk                            25-Oct-2024 19:10               55087
sblim-sfcc-dev-2.2.8-r3.apk                        25-Oct-2024 19:10               22792
sblim-sfcc-doc-2.2.8-r3.apk                        25-Oct-2024 19:10               35408
sblim-wbemcli-1.6.3-r1.apk                         25-Oct-2024 19:10               96726
sblim-wbemcli-doc-1.6.3-r1.apk                     25-Oct-2024 19:10                4633
sc-controller-0.5.3-r0.apk                         25-Sep-2025 22:00             1331240
sc-controller-pyc-0.5.3-r0.apk                     25-Sep-2025 22:00              833962
sc3-plugins-3.13.0-r2.apk                          08-Feb-2025 23:46            10318393
scalingo-1.30.0-r13.apk                            06-Sep-2025 16:20             5347582
scap-workbench-1.2.1-r3.apk                        25-Oct-2024 19:10              234913
scap-workbench-doc-1.2.1-r3.apk                    25-Oct-2024 19:10             1664240
schismtracker-20231029-r0.apk                      25-Oct-2024 19:10              392827
schismtracker-doc-20231029-r0.apk                  25-Oct-2024 19:10                6393
scooper-1.3-r1.apk                                 25-Oct-2024 19:10              531720
scooper-doc-1.3-r1.apk                             25-Oct-2024 19:10                2652
scooter-0.6.0-r0.apk                               15-Sep-2025 20:49             1743994
scooter-doc-0.6.0-r0.apk                           15-Sep-2025 20:49                2313
screenkey-1.5-r7.apk                               23-Jul-2025 04:04               78192
screenkey-doc-1.5-r7.apk                           23-Jul-2025 04:04               11235
screenkey-pyc-1.5-r7.apk                           23-Jul-2025 04:04               74896
sct-2018.12.18-r1.apk                              25-Oct-2024 19:10                3800
sdl3_image-3.2.4-r0.apk                            26-Mar-2025 11:51               79402
sdl3_image-dev-3.2.4-r0.apk                        26-Mar-2025 11:51               12341
sdl3_image-doc-3.2.4-r0.apk                        26-Mar-2025 11:51                2113
sdparm-1.12-r1.apk                                 25-Oct-2024 19:10              151221
sdparm-doc-1.12-r1.apk                             25-Oct-2024 19:10               19786
sdrangel-7.22.7-r3.apk                             27-Sep-2025 13:54            47044624
seaweedfs-3.93-r2.apk                              06-Sep-2025 16:20            25700017
seaweedfs-doc-3.93-r2.apk                          06-Sep-2025 16:20               14087
seaweedfs-openrc-3.93-r2.apk                       06-Sep-2025 16:20                1957
secsipidx-1.3.2-r15.apk                            06-Sep-2025 16:20             2746494
secsipidx-dev-1.3.2-r15.apk                        06-Sep-2025 16:20             5511747
secsipidx-libs-1.3.2-r15.apk                       06-Sep-2025 16:20             2449841
sedutil-1.15.1-r1.apk                              25-Oct-2024 19:10              184218
sedutil-doc-1.15.1-r1.apk                          25-Oct-2024 19:10                3134
seed7-05.20240322-r0.apk                           25-Oct-2024 19:10            10458160
seed7-doc-05.20240322-r0.apk                       25-Oct-2024 19:10             1679980
seed7-nano-05.20240322-r0.apk                      25-Oct-2024 19:10                2532
seed7-vim-05.20240322-r0.apk                       25-Oct-2024 19:10                4111
sentinel-minipot-2.3.0-r1.apk                      25-Oct-2024 19:10               45169
sentinel-minipot-openrc-2.3.0-r1.apk               25-Oct-2024 19:10                2660
sentinel-proxy-2.1.0-r1.apk                        13-Jun-2025 15:19               44556
sentinel-proxy-dev-2.1.0-r1.apk                    13-Jun-2025 15:19                4652
sentinel-proxy-openrc-2.1.0-r1.apk                 13-Jun-2025 15:19                2299
sentrypeer-4.0.4-r0.apk                            26-Mar-2025 11:51             1778710
sentrypeer-doc-4.0.4-r0.apk                        26-Mar-2025 11:51                3362
serialdv-1.1.4-r1.apk                              08-Feb-2025 23:46                7034
serialdv-dev-1.1.4-r1.apk                          08-Feb-2025 23:46                5258
serialdv-libs-1.1.4-r1.apk                         08-Feb-2025 23:46               62994
serie-0.5.1-r0.apk                                 24-Sep-2025 16:05              810611
serie-doc-0.5.1-r0.apk                             24-Sep-2025 16:05                8075
setroot-2.0.2-r1.apk                               25-Oct-2024 19:10               11752
setroot-doc-2.0.2-r1.apk                           25-Oct-2024 19:10                4551
sfizz-1.2.3-r1.apk                                 02-Oct-2025 14:07             1635515
sfizz-dev-1.2.3-r1.apk                             02-Oct-2025 14:07               15097
sfizz-doc-1.2.3-r1.apk                             02-Oct-2025 14:07                3090
sflowtool-6.02-r0.apk                              25-Oct-2024 19:10               43268
sflowtool-doc-6.02-r0.apk                          25-Oct-2024 19:10                9578
sfwbar-1.0_beta161-r0.apk                          31-Jul-2025 13:29              295394
sfwbar-doc-1.0_beta161-r0.apk                      31-Jul-2025 13:29               27142
sgt-puzzles-0_git20230310-r2.apk                   25-Oct-2024 19:10             3097708
shadowsocks-libev-3.3.5-r4.apk                     25-Oct-2024 19:10              229507
shadowsocks-libev-dev-3.3.5-r4.apk                 25-Oct-2024 19:10                3551
shadowsocks-libev-doc-3.3.5-r4.apk                 25-Oct-2024 19:10               28663
shc-4.0.3-r2.apk                                   25-Oct-2024 19:10               17226
shellinabox-2.21-r3.apk                            25-Oct-2024 19:10              121350
shellinabox-doc-2.21-r3.apk                        25-Oct-2024 19:10               19821
shellinabox-openrc-2.21-r3.apk                     25-Oct-2024 19:10                3608
shfm-0.4.2-r1.apk                                  25-Oct-2024 19:10                4133
shfm-doc-0.4.2-r1.apk                              25-Oct-2024 19:10                6284
shine-3.1.1-r0.apk                                 25-Oct-2024 19:10               55131
shipments-0.3.0-r0.apk                             25-Oct-2024 19:10               24034
shntool-3.0.10-r5.apk                              24-Feb-2025 21:33               59750
shntool-doc-3.0.10-r5.apk                          24-Feb-2025 21:33               10518
shutdown-clear-machine-id-1.0.0-r0.apk             25-Oct-2024 19:10                1851
sigil-2.1.0-r0.apk                                 25-Oct-2024 19:10             4224938
sigil-lang-2.1.0-r0.apk                            25-Oct-2024 19:10             3244506
sigma-0.23.1-r1.apk                                25-Oct-2024 19:10              242461
sigma-pyc-0.23.1-r1.apk                            25-Oct-2024 19:10              348180
signal-desktop-7.71.0-r2.apk                       27-Sep-2025 13:54            45987775
sigrok-cli-0.7.2-r0.apk                            25-Oct-2024 19:10               39029
sigrok-cli-doc-0.7.2-r0.apk                        25-Oct-2024 19:10                8184
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk           25-Oct-2024 19:10               13384
silc-client-1.1.11-r18.apk                         30-Jun-2025 07:25              879182
silc-client-doc-1.1.11-r18.apk                     30-Jun-2025 07:25               84088
simavr-1.7-r1.apk                                  25-Oct-2024 19:10               93603
simavr-dev-1.7-r1.apk                              25-Oct-2024 19:10              825023
simgear-2024.1.1-r0.apk                            05-Mar-2025 00:16             2225246
simgear-dev-2024.1.1-r0.apk                        05-Mar-2025 00:16              413778
simh-3.11.1-r1.apk                                 25-Oct-2024 19:10             3224495
simp1e-cursors-0_git20250312-r0.apk                26-Mar-2025 11:51              457400
simp1e-cursors-adw-0_git20250312-r0.apk            26-Mar-2025 11:51              489265
simp1e-cursors-adw-dark-0_git20250312-r0.apk       26-Mar-2025 11:51              493561
simp1e-cursors-adw-dark-left-0_git20250312-r0.apk  26-Mar-2025 11:51              496614
simp1e-cursors-adw-left-0_git20250312-r0.apk       26-Mar-2025 11:51              494603
simp1e-cursors-breeze-0_git20250312-r0.apk         26-Mar-2025 11:51              516343
simp1e-cursors-breeze-dark-0_git20250312-r0.apk    26-Mar-2025 11:51              532448
simp1e-cursors-breeze-dark-left-0_git20250312-r..> 26-Mar-2025 11:51              538939
simp1e-cursors-breeze-left-0_git20250312-r0.apk    26-Mar-2025 11:51              521535
simp1e-cursors-catppuccin-frappe-0_git20250312-..> 26-Mar-2025 11:51              547864
simp1e-cursors-catppuccin-frappe-left-0_git2025..> 26-Mar-2025 11:51              560593
simp1e-cursors-catppuccin-latte-0_git20250312-r..> 26-Mar-2025 11:51              540220
simp1e-cursors-catppuccin-latte-left-0_git20250..> 26-Mar-2025 11:51              550237
simp1e-cursors-catppuccin-macchiato-0_git202503..> 26-Mar-2025 11:51              545433
simp1e-cursors-catppuccin-macchiato-left-0_git2..> 26-Mar-2025 11:51              557505
simp1e-cursors-catppuccin-mocha-0_git20250312-r..> 26-Mar-2025 11:51              542605
simp1e-cursors-catppuccin-mocha-left-0_git20250..> 26-Mar-2025 11:51              552891
simp1e-cursors-dark-0_git20250312-r0.apk           26-Mar-2025 11:51              495529
simp1e-cursors-dark-left-0_git20250312-r0.apk      26-Mar-2025 11:51              501310
simp1e-cursors-doc-0_git20250312-r0.apk            26-Mar-2025 11:51               14162
simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk   26-Mar-2025 11:51              537582
simp1e-cursors-gruvbox-dark-left-0_git20250312-..> 26-Mar-2025 11:51              549841
simp1e-cursors-gruvbox-light-0_git20250312-r0.apk  26-Mar-2025 11:51              529523
simp1e-cursors-gruvbox-light-left-0_git20250312..> 26-Mar-2025 11:51              539241
simp1e-cursors-left-0_git20250312-r0.apk           26-Mar-2025 11:51              459268
simp1e-cursors-mix-dark-0_git20250312-r0.apk       26-Mar-2025 11:51              498407
simp1e-cursors-mix-dark-left-0_git20250312-r0.apk  26-Mar-2025 11:51              503996
simp1e-cursors-mix-light-0_git20250312-r0.apk      26-Mar-2025 11:51              460334
simp1e-cursors-mix-light-left-0_git20250312-r0.apk 26-Mar-2025 11:51              462263
simp1e-cursors-nord-dark-0_git20250312-r0.apk      26-Mar-2025 11:51              548483
simp1e-cursors-nord-dark-left-0_git20250312-r0.apk 26-Mar-2025 11:51              561726
simp1e-cursors-nord-light-0_git20250312-r0.apk     26-Mar-2025 11:51              530309
simp1e-cursors-nord-light-left-0_git20250312-r0..> 26-Mar-2025 11:51              537276
simp1e-cursors-rose-pine-0_git20250312-r0.apk      26-Mar-2025 11:51              546287
simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk 26-Mar-2025 11:51              551322
simp1e-cursors-rose-pine-dawn-left-0_git2025031..> 26-Mar-2025 11:51              563808
simp1e-cursors-rose-pine-left-0_git20250312-r0.apk 26-Mar-2025 11:51              558211
simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk 26-Mar-2025 11:51              550800
simp1e-cursors-rose-pine-moon-left-0_git2025031..> 26-Mar-2025 11:51              563388
simp1e-cursors-solarized-dark-0_git20250312-r0.apk 26-Mar-2025 11:51              536264
simp1e-cursors-solarized-dark-left-0_git2025031..> 26-Mar-2025 11:51              547852
simp1e-cursors-solarized-light-0_git20250312-r0..> 26-Mar-2025 11:51              543811
simp1e-cursors-solarized-light-left-0_git202503..> 26-Mar-2025 11:51              555052
simp1e-cursors-tokyo-night-0_git20250312-r0.apk    26-Mar-2025 11:51              542632
simp1e-cursors-tokyo-night-left-0_git20250312-r..> 26-Mar-2025 11:51              553932
simp1e-cursors-tokyo-night-light-0_git20250312-..> 26-Mar-2025 11:51              532319
simp1e-cursors-tokyo-night-light-left-0_git2025..> 26-Mar-2025 11:51              539545
simp1e-cursors-tokyo-night-storm-0_git20250312-..> 26-Mar-2025 11:51              546745
simp1e-cursors-tokyo-night-storm-left-0_git2025..> 26-Mar-2025 11:51              558732
simp1e-cursors-zenburn-0_git20250312-r0.apk        26-Mar-2025 11:51              541960
simp1e-cursors-zenburn-left-0_git20250312-r0.apk   26-Mar-2025 11:51              553117
simpleble-0.10.3-r0.apk                            12-Jul-2025 06:30                1259
simpleble-dev-0.10.3-r0.apk                        12-Jul-2025 06:30               28598
singular-4.4.1_p2-r0.apk                           03-Jul-2025 00:06            11280084
singular-dev-4.4.1_p2-r0.apk                       03-Jul-2025 00:06              367707
singular-doc-4.4.1_p2-r0.apk                       03-Jul-2025 00:06             1415565
singular-emacs-4.4.1_p2-r0.apk                     03-Jul-2025 00:06              104009
singular-static-4.4.1_p2-r0.apk                    03-Jul-2025 00:06             6579012
sipexer-1.2.0-r6.apk                               06-Sep-2025 16:20             2798312
sipgrep-2.2.0-r1.apk                               25-Oct-2024 19:10               26900
siril-1.2.6-r5.apk                                 27-Sep-2025 21:15             2830589
siril-doc-1.2.6-r5.apk                             27-Sep-2025 21:15               18195
siril-lang-1.2.6-r5.apk                            27-Sep-2025 21:15             1629680
sish-2.16.1-r8.apk                                 06-Sep-2025 16:20             8074502
sish-openrc-2.16.1-r8.apk                          06-Sep-2025 16:20                1953
skint-0.6.5-r0.apk                                 16-Sep-2025 08:59              528571
slidge-0.1.3-r0.apk                                25-Oct-2024 19:10              148081
slidge-doc-0.1.3-r0.apk                            25-Oct-2024 19:10                4650
slidge-matridge-0.1.0-r0.apk                       25-Oct-2024 19:10               30400
slidge-matridge-openrc-0.1.0-r0.apk                25-Oct-2024 19:10                1546
slidge-matridge-pyc-0.1.0-r0.apk                   25-Oct-2024 19:10               38833
slidge-openrc-0.1.3-r0.apk                         25-Oct-2024 19:10                2370
slidge-pyc-0.1.3-r0.apk                            25-Oct-2024 19:10              291851
sloccount-2.26-r3.apk                              25-Oct-2024 19:10               59931
sloccount-doc-2.26-r3.apk                          25-Oct-2024 19:10               60793
slurm-0.4.4-r0.apk                                 25-Oct-2024 19:10               14175
slurm-doc-0.4.4-r0.apk                             25-Oct-2024 19:10                2313
sly-1.0.0-r1.apk                                   13-Jul-2025 21:22             4119326
smassh-3.1.6-r0.apk                                21-Nov-2024 02:56               74140
smassh-pyc-3.1.6-r0.apk                            21-Nov-2024 02:56               71917
smile-2.10.2-r0.apk                                09-Sep-2025 21:19              884020
smile-lang-2.10.2-r0.apk                           09-Sep-2025 21:19               28905
smplxmpp-0.9.3-r4.apk                              18-Dec-2024 12:16              150371
smplxmpp-doc-0.9.3-r4.apk                          18-Dec-2024 12:16               25370
snapper-0.13.0-r0.apk                              16-Sep-2025 18:45             1052833
snapper-bash-completion-0.13.0-r0.apk              16-Sep-2025 18:45                3451
snapper-dev-0.13.0-r0.apk                          16-Sep-2025 18:45               10989
snapper-doc-0.13.0-r0.apk                          16-Sep-2025 18:45               25866
snapper-lang-0.13.0-r0.apk                         16-Sep-2025 18:45              224207
snapper-zsh-completion-0.13.0-r0.apk               16-Sep-2025 18:45                3652
snapraid-12.4-r0.apk                               09-May-2025 17:29              255670
snapraid-doc-12.4-r0.apk                           09-May-2025 17:29               17711
snapweb-0.9.1-r0.apk                               03-Aug-2025 20:24              484608
sndfile-tools-1.5-r1.apk                           25-Oct-2024 19:10               46432
sndfile-tools-doc-1.5-r1.apk                       25-Oct-2024 19:10              369658
snikket-sdk-0_git20250612-r1.apk                   20-Aug-2025 07:21             3049442
snippets-ls-0.0.4_git20240617-r8.apk               06-Sep-2025 16:20             1352541
snore-0.3.1-r0.apk                                 25-Oct-2024 19:10                4839
snore-doc-0.3.1-r0.apk                             25-Oct-2024 19:10                3143
so-0.4.10-r0.apk                                   25-Oct-2024 19:10             1990182
soapy-bladerf-0.4.2-r0.apk                         31-Dec-2024 01:11               48731
soapy-hackrf-0.3.4-r2.apk                          25-Oct-2024 19:10               30012
soapy-sdr-remote-0.5.2-r1.apk                      25-Oct-2024 19:10              198945
soapy-sdr-remote-doc-0.5.2-r1.apk                  25-Oct-2024 19:10                2426
soapy-sdr-remote-openrc-0.5.2-r1.apk               25-Oct-2024 19:10                1758
solanum-6.0.0-r0.apk                               10-Apr-2025 13:04              245924
solanum-lang-6.0.0-r0.apk                          10-Apr-2025 13:04               48459
solarus-engine-1.7.0-r2.apk                        23-Jul-2025 04:04             2061083
solarus-engine-doc-1.7.0-r2.apk                    23-Jul-2025 04:04                3428
somebar-1.0.3-r0.apk                               25-Oct-2024 19:10               44480
somebar-doc-1.0.3-r0.apk                           25-Oct-2024 19:10                2476
sonar-scanner-7.1.0.4889-r0.apk                    05-May-2025 01:09            11214303
sonarr-4.0.15.2941-r0.apk                          21-Jun-2025 14:17            28599684
sonarr-openrc-4.0.15.2941-r0.apk                   21-Jun-2025 14:17                2091
sonicradio-0.8.4-r0.apk                            06-Sep-2025 16:20             3209188
sopwith-2.5.0-r0.apk                               25-Oct-2024 19:10               52027
sopwith-doc-2.5.0-r0.apk                           25-Oct-2024 19:10               15225
sos-0.8-r34.apk                                    06-Sep-2025 16:20             2734623
soundconverter-4.1.1-r0.apk                        29-Jul-2025 13:12              168466
soundconverter-doc-4.1.1-r0.apk                    29-Jul-2025 13:12                4831
soundconverter-lang-4.1.1-r0.apk                   29-Jul-2025 13:12              109468
soundconverter-pyc-4.1.1-r0.apk                    29-Jul-2025 13:12               78477
sourcegit-8.33-r0.apk                              25-Oct-2024 19:10            24374994
spacectl-1.12.0-r4.apk                             06-Sep-2025 16:20             5711438
spacectl-bash-completion-1.12.0-r4.apk             06-Sep-2025 16:20                2101
spacectl-doc-1.12.0-r4.apk                         06-Sep-2025 16:20                2340
spacectl-fish-completion-1.12.0-r4.apk             06-Sep-2025 16:20                7229
spacectl-zsh-completion-1.12.0-r4.apk              06-Sep-2025 16:20                1852
spacenavd-1.2-r0.apk                               25-Oct-2024 19:10               33134
spacer-0.5.0-r0.apk                                31-Aug-2025 02:52              894485
spacer-doc-0.5.0-r0.apk                            31-Aug-2025 02:52                3148
spampd-2.62-r0.apk                                 25-Feb-2025 22:31               39446
spampd-openrc-2.62-r0.apk                          25-Feb-2025 22:31                2023
spark-2.8.3-r1.apk                                 25-Oct-2024 19:10            30331710
speedcrunch-0.12-r3.apk                            25-Oct-2024 19:10             1174277
speedtest-5.2.5-r1.apk                             25-Oct-2024 19:10              259560
speedtest-doc-5.2.5-r1.apk                         25-Oct-2024 19:10               18844
speedtest-examples-5.2.5-r1.apk                    25-Oct-2024 19:10               13279
speedtest-go-1.1.5-r18.apk                         06-Sep-2025 16:20             5484728
speedtest-go-doc-1.1.5-r18.apk                     06-Sep-2025 16:20                4619
speedtest-go-openrc-1.1.5-r18.apk                  06-Sep-2025 16:20                1774
speedtest_exporter-0.3.2-r18.apk                   06-Sep-2025 16:20             3897161
speedtest_exporter-openrc-0.3.2-r18.apk            06-Sep-2025 16:20                1900
spice-html5-0.3.0-r1.apk                           25-Oct-2024 19:10              448978
spike-1.1.0-r0.apk                                 25-Oct-2024 19:10             1490193
spiped-1.6.2-r1.apk                                25-Oct-2024 19:10               74969
spiritvnc-0.6.5-r0.apk                             03-Nov-2024 05:09               48991
splitter-0.4.1-r1.apk                              15-Jul-2025 04:09              598203
splitter-doc-0.4.1-r1.apk                          15-Jul-2025 04:09                3915
spnavcfg-1.1-r0.apk                                25-Oct-2024 19:10               36943
sponskrub-3.7.2-r9.apk                             07-Jun-2025 08:57              181695
spotify-player-0.20.4-r0.apk                       02-Mar-2025 15:57             4344685
spotify-tui-0.25.0-r2.apk                          25-Oct-2024 19:10             1872434
spread-sheet-widget-0.10-r0.apk                    25-Oct-2024 19:10               47591
spread-sheet-widget-dbg-0.10-r0.apk                25-Oct-2024 19:10              199131
spread-sheet-widget-dev-0.10-r0.apk                25-Oct-2024 19:10              373429
spread-sheet-widget-doc-0.10-r0.apk                25-Oct-2024 19:10                4749
spreadtrum_flash-1.20240815-r0.apk                 08-May-2025 12:22               37683
spvm-errno-0.093-r1.apk                            30-Jun-2025 07:25               17874
spvm-errno-doc-0.093-r1.apk                        30-Jun-2025 07:25                6149
spvm-math-1.006-r1.apk                             30-Jun-2025 07:25               21394
spvm-math-doc-1.006-r1.apk                         30-Jun-2025 07:25                7102
spvm-mime-base64-1.003-r1.apk                      30-Jun-2025 07:25               17482
spvm-mime-base64-doc-1.003-r1.apk                  30-Jun-2025 07:25                5611
spvm-thread-0.003-r1.apk                           30-Jun-2025 07:25               15405
spvm-thread-doc-0.003-r1.apk                       30-Jun-2025 07:25                6111
sq-0.48.5-r8.apk                                   06-Sep-2025 16:20            10214226
sq-bash-completion-0.48.5-r8.apk                   06-Sep-2025 16:20                5164
sq-doc-0.48.5-r8.apk                               06-Sep-2025 16:20                6027
sq-fish-completion-0.48.5-r8.apk                   06-Sep-2025 16:20                4394
sq-zsh-completion-0.48.5-r8.apk                    06-Sep-2025 16:20                4118
sqlar-0_git20180107-r1.apk                         25-Oct-2024 19:10               14316
sqlar-doc-0_git20180107-r1.apk                     25-Oct-2024 19:10                3384
sqliteodbc-0.99991-r0.apk                          25-Oct-2024 19:10               95122
sqlmap-1.9.9-r0.apk                                03-Sep-2025 18:27             7102382
sqlmap-pyc-1.9.9-r0.apk                            03-Sep-2025 18:27             1223852
sqm-scripts-1.6.0-r0.apk                           25-Oct-2024 19:10               20959
sqruff-0.25.26-r0.apk                              13-Apr-2025 20:42             2133001
sqruff-doc-0.25.26-r0.apk                          13-Apr-2025 20:42                8850
srain-1.8.1-r0.apk                                 26-Jun-2025 19:59              168718
srain-lang-1.8.1-r0.apk                            26-Jun-2025 19:59               35951
srb2-2.2.15-r1.apk                                 10-Apr-2025 20:50             1919326
srb2-data-2.2.15-r1.apk                            10-Apr-2025 20:50           167342804
sregex-0.0.1-r1.apk                                25-Oct-2024 19:10               21761
sregex-dev-0.0.1-r1.apk                            25-Oct-2024 19:10               25925
ssdfs-tools-4.09-r0.apk                            25-Oct-2024 19:10               98314
ssdfs-tools-dev-4.09-r0.apk                        25-Oct-2024 19:10               18901
ssh-cert-authority-2.0.0-r29.apk                   06-Sep-2025 16:20             4922882
ssh-honeypot-0.1.1-r1.apk                          25-Oct-2024 19:10                9361
ssh-honeypot-openrc-0.1.1-r1.apk                   25-Oct-2024 19:10                2099
ssh-tools-1.8-r0.apk                               25-Oct-2024 19:10               26211
sshs-4.7.2-r0.apk                                  03-May-2025 20:03              686211
sshsrv-1.0-r15.apk                                 06-Sep-2025 16:20             1007532
sshuttle-1.1.2-r0.apk                              25-Oct-2024 19:10               63739
sshuttle-doc-1.1.2-r0.apk                          25-Oct-2024 19:10                8680
sshuttle-pyc-1.1.2-r0.apk                          25-Oct-2024 19:10              103117
sssd-2.11.1-r1.apk                                 10-Aug-2025 01:02             2198729
sssd-dev-2.11.1-r1.apk                             10-Aug-2025 01:02               15151
sssd-openrc-2.11.1-r1.apk                          10-Aug-2025 01:02                1719
ssss-0.5.7-r0.apk                                  25-Oct-2024 19:10               12422
ssss-doc-0.5.7-r0.apk                              25-Oct-2024 19:10                3418
sstp-client-1.0.20-r2.apk                          30-May-2025 10:23               42233
sstp-client-dev-1.0.20-r2.apk                      30-May-2025 10:23                5566
sstp-client-doc-1.0.20-r2.apk                      30-May-2025 10:23                4976
stacker-1.0.0-r8.apk                               06-Sep-2025 16:20            23823840
stacker-doc-1.0.0-r8.apk                           06-Sep-2025 16:20               15143
stalwart-cli-0.13.3-r0.apk                         13-Sep-2025 14:13             2609115
stalwart-mail-0.13.3-r0.apk                        13-Sep-2025 14:13            15082320
stalwart-mail-openrc-0.13.3-r0.apk                 13-Sep-2025 14:13                2119
stam-0.12.2-r0.apk                                 22-Sep-2025 12:09             1584139
starfighter-2.4-r0.apk                             25-Oct-2024 19:10            50193634
starfighter-doc-2.4-r0.apk                         25-Oct-2024 19:10               22114
startup-2.0.3-r5.apk                               25-Oct-2024 19:10              410818
startup-bridge-dconf-2.0.3-r5.apk                  25-Oct-2024 19:10               30392
startup-bridge-udev-2.0.3-r5.apk                   25-Oct-2024 19:10               30331
startup-dev-2.0.3-r5.apk                           25-Oct-2024 19:10                5985
startup-doc-2.0.3-r5.apk                           25-Oct-2024 19:10               48736
startup-fish-completion-2.0.3-r5.apk               25-Oct-2024 19:10                5511
startup-lang-2.0.3-r5.apk                          25-Oct-2024 19:10               16946
startup-tools-2.0.3-r5.apk                         25-Oct-2024 19:10               13631
stayrtr-0.6.2-r7.apk                               06-Sep-2025 16:20            10616490
stayrtr-openrc-0.6.2-r7.apk                        06-Sep-2025 16:20                2020
steamguard-cli-0.17.1-r0.apk                       12-Jul-2025 06:31             3151090
steamguard-cli-bash-completion-0.17.1-r0.apk       12-Jul-2025 06:31                2964
steamguard-cli-zsh-completion-0.17.1-r0.apk        12-Jul-2025 06:31                4328
steghide-0.5.1.1-r0.apk                            25-Oct-2024 19:10              141263
steghide-doc-0.5.1.1-r0.apk                        25-Oct-2024 19:10               14049
stern-1.32.0-r7.apk                                06-Sep-2025 16:20            17775434
stern-bash-completion-1.32.0-r7.apk                06-Sep-2025 16:20                5975
stern-fish-completion-1.32.0-r7.apk                06-Sep-2025 16:20                4419
stern-zsh-completion-1.32.0-r7.apk                 06-Sep-2025 16:20                4142
stgit-2.4.7-r1.apk                                 25-Oct-2024 19:10             1931641
stgit-bash-completion-2.4.7-r1.apk                 25-Oct-2024 19:10               17429
stgit-doc-2.4.7-r1.apk                             25-Oct-2024 19:10              129263
stgit-emacs-2.4.7-r1.apk                           25-Oct-2024 19:10               28219
stgit-fish-completion-2.4.7-r1.apk                 25-Oct-2024 19:10               11987
stgit-vim-2.4.7-r1.apk                             25-Oct-2024 19:10                3540
stgit-zsh-completion-2.4.7-r1.apk                  25-Oct-2024 19:10               24141
sthttpd-2.27.1-r2.apk                              25-Oct-2024 19:10               63628
sthttpd-doc-2.27.1-r2.apk                          25-Oct-2024 19:10               18662
sthttpd-openrc-2.27.1-r2.apk                       25-Oct-2024 19:10                1995
stockfish-17-r0.apk                                03-Mar-2025 22:40            64789992
stone-soup-0.32.1-r0.apk                           25-Oct-2024 19:10            33236586
sturmreader-3.7.2-r2.apk                           06-Sep-2025 16:20             1040670
sturmreader-lang-3.7.2-r2.apk                      06-Sep-2025 16:20               39908
stw-0.3-r0.apk                                     25-Oct-2024 19:10                8091
stw-doc-0.3-r0.apk                                 25-Oct-2024 19:10                2587
sublime-music-0.12.0-r1.apk                        25-Oct-2024 19:10              194058
sublime-music-pyc-0.12.0-r1.apk                    25-Oct-2024 19:10              309435
subliminal-2.2.1-r1.apk                            14-May-2025 18:17               70066
subliminal-pyc-2.2.1-r1.apk                        14-May-2025 18:17              137882
sudo-ldap-1.9.17_p1-r0.apk                         01-Jul-2025 18:57              777534
supercollider-3.13.0-r6.apk                        08-Feb-2025 23:46             8018839
supercollider-dev-3.13.0-r6.apk                    08-Feb-2025 23:46               40146
supermin-5.2.2-r2.apk                              25-Oct-2024 19:10              670198
supermin-doc-5.2.2-r2.apk                          25-Oct-2024 19:10                9590
supersonik-0.1.0-r2.apk                            13-Apr-2025 20:28             1100884
surf-2.1-r3.apk                                    25-Oct-2024 19:10               23960
surf-doc-2.1-r3.apk                                25-Oct-2024 19:10                4747
surfraw-2.3.0-r0.apk                               25-Oct-2024 19:10               80620
surfraw-doc-2.3.0-r0.apk                           25-Oct-2024 19:10               18125
suru-icon-theme-2025.05.0-r0.apk                   09-May-2025 17:27             3012096
svgbob-0.7.6-r0.apk                                01-Feb-2025 21:08              427327
svls-0.2.12-r0.apk                                 25-Oct-2024 19:10             3443104
svls-doc-0.2.12-r0.apk                             25-Oct-2024 19:10                2279
swaks-20240103.0-r0.apk                            25-Oct-2024 19:10               67676
swaks-doc-20240103.0-r0.apk                        25-Oct-2024 19:10               50836
swappy-1.7.1-r0.apk                                21-Aug-2025 23:29               28953
swappy-doc-1.7.1-r0.apk                            21-Aug-2025 23:29                3906
swappy-lang-1.7.1-r0.apk                           21-Aug-2025 23:29                3881
sway-audio-idle-inhibit-0.1.2-r0.apk               25-Oct-2024 19:10               10500
swayhide-0.2.1-r2.apk                              25-Oct-2024 19:10              251149
swhkd-1.2.1-r0.apk                                 25-Oct-2024 19:10             1011073
swhkd-doc-1.2.1-r0.apk                             25-Oct-2024 19:10                6321
swi-prolog-9.2.9-r0.apk                            21-Dec-2024 10:08             5001163
swi-prolog-doc-9.2.9-r0.apk                        21-Dec-2024 10:08             2162685
swi-prolog-pyc-9.2.9-r0.apk                        21-Dec-2024 10:08               22993
swi-prolog-xpce-9.2.9-r0.apk                       21-Dec-2024 10:08              943913
swi-prolog-xpce-doc-9.2.9-r0.apk                   21-Dec-2024 10:08             1092552
sxcs-1.1.0-r0.apk                                  25-Oct-2024 19:10                8870
sxcs-doc-1.1.0-r0.apk                              25-Oct-2024 19:10                2703
sydbox-3.21.3-r0.apk                               25-Oct-2024 19:10             1403591
sydbox-doc-3.21.3-r0.apk                           25-Oct-2024 19:10               85909
sydbox-oci-3.21.3-r0.apk                           25-Oct-2024 19:10             1842039
sydbox-utils-3.21.3-r0.apk                         25-Oct-2024 19:10             6223877
sydbox-vim-3.21.3-r0.apk                           25-Oct-2024 19:10                5352
sylpheed-imap-notify-1.1.0-r2.apk                  25-Oct-2024 19:10                8829
symbiyosys-0.36-r0.apk                             25-Oct-2024 19:10               38478
symengine-0.12.0-r0.apk                            25-Oct-2024 19:10             3289286
symlinks-1.4.3-r0.apk                              03-May-2025 20:03                6635
symlinks-doc-1.4.3-r0.apk                          03-May-2025 20:03                3944
sympow-2.023.7-r2.apk                              25-Oct-2024 19:10             1880747
sympow-doc-2.023.7-r2.apk                          25-Oct-2024 19:10                3158
syncthing-gtk-0.9.4.5-r2.apk                       25-Oct-2024 19:10              450510
syncthing-gtk-doc-0.9.4.5-r2.apk                   25-Oct-2024 19:10                2255
syncthing-gtk-pyc-0.9.4.5-r2.apk                   25-Oct-2024 19:10              225894
t2sz-1.1.2-r0.apk                                  25-Oct-2024 19:10                9199
tabiew-0.11.0-r0.apk                               30-Jul-2025 07:51            12399419
tachyon-0.99_beta6-r1.apk                          25-Oct-2024 19:10              101071
tachyon-scenes-0.99_beta6-r1.apk                   25-Oct-2024 19:10             2027060
tailspin-5.4.2-r0.apk                              14-May-2025 10:00             1119222
tailspin-bash-completion-5.4.2-r0.apk              14-May-2025 10:00                2281
tailspin-doc-5.4.2-r0.apk                          14-May-2025 10:00                3086
tailspin-fish-completion-5.4.2-r0.apk              14-May-2025 10:00                2167
tailspin-zsh-completion-5.4.2-r0.apk               14-May-2025 10:00                2522
tang-15-r0.apk                                     26-Jan-2025 06:27               16703
tang-dbg-15-r0.apk                                 26-Jan-2025 06:27               32743
tang-doc-15-r0.apk                                 26-Jan-2025 06:27               21062
tang-openrc-15-r0.apk                              26-Jan-2025 06:27                1936
tangara-companion-0.5.0-r0.apk                     19-Sep-2025 08:14              880805
tangctl-0_git20241007-r7.apk                       06-Sep-2025 16:20             2611231
tanidvr-1.4.1-r2.apk                               26-Mar-2025 11:51               25483
tanidvr-dhav2mkv-1.4.1-r2.apk                      26-Mar-2025 11:51               14403
tanka-0.32.0-r3.apk                                06-Sep-2025 16:20             4524329
tartube-2.5.0-r1.apk                               25-Oct-2024 19:10             2874303
tartube-pyc-2.5.0-r1.apk                           25-Oct-2024 19:10             1123631
taskcafe-0.3.6-r16.apk                             06-Sep-2025 16:20            13834990
taskcafe-openrc-0.3.6-r16.apk                      06-Sep-2025 16:20                1864
taskwarrior-tui-0.26.3-r0.apk                      26-Mar-2025 11:51             1141721
taskwarrior-tui-bash-completion-0.26.3-r0.apk      26-Mar-2025 11:51                2030
taskwarrior-tui-doc-0.26.3-r0.apk                  26-Mar-2025 11:51                4035
taskwarrior-tui-fish-completion-0.26.3-r0.apk      26-Mar-2025 11:51                1777
tauri-cli-2.4.0-r0.apk                             02-Apr-2025 17:03             7249474
tayga-0.9.5-r0.apk                                 20-Jun-2025 07:58               27334
tayga-doc-0.9.5-r0.apk                             20-Jun-2025 07:58                6199
tcl-curl-7.22.0-r0.apk                             25-Oct-2024 19:10               31811
tcl-curl-doc-7.22.0-r0.apk                         25-Oct-2024 19:10               38562
tcl9-9.0.2-r0.apk                                  03-Jul-2025 08:13             1915112
tcl9-dev-9.0.2-r0.apk                              03-Jul-2025 08:13              189067
tcl9-doc-9.0.2-r0.apk                              03-Jul-2025 08:13             1445900
tcmalloc-2.17-r0.apk                               05-Aug-2025 13:00               76151
tcmalloc-debug-2.17-r0.apk                         05-Aug-2025 13:00              119052
tcmalloc-minimal-2.17-r0.apk                       05-Aug-2025 13:00               58594
tcmalloc-minimal-debug-2.17-r0.apk                 05-Aug-2025 13:00              105404
tcmalloc-profiler-2.17-r0.apk                      05-Aug-2025 13:00               84412
tcmu-runner-1.6.0-r6.apk                           25-Oct-2024 19:10               91419
tcmu-runner-doc-1.6.0-r6.apk                       25-Oct-2024 19:10                2575
tcmu-runner-rbd-1.6.0-r6.apk                       25-Oct-2024 19:10               13398
tcpbench-3.00-r1.apk                               13-Sep-2025 01:00               21357
tcpbench-doc-3.00-r1.apk                           13-Sep-2025 01:00                5382
tdrop-0.5.0-r0.apk                                 25-Oct-2024 19:10               12107
tdrop-doc-0.5.0-r0.apk                             25-Oct-2024 19:10                9066
tealdeer-1.7.2-r0.apk                              26-Mar-2025 11:51              851025
tealdeer-bash-completion-1.7.2-r0.apk              26-Mar-2025 11:51                2012
tealdeer-fish-completion-1.7.2-r0.apk              26-Mar-2025 11:51                2262
tealdeer-zsh-completion-1.7.2-r0.apk               26-Mar-2025 11:51                2369
teapot-tools-0.4.2-r2.apk                          25-Oct-2024 19:10             2064575
telegram-bot-api-9.1-r0.apk                        02-Aug-2025 10:33             7200149
telegram-tdlib-1.8.51-r0.apk                       02-Aug-2025 10:27             7254257
telegram-tdlib-dev-1.8.51-r0.apk                   02-Aug-2025 10:27              193212
telegram-tdlib-static-1.8.51-r0.apk                02-Aug-2025 10:27            22035509
templ-0.3.920-r2.apk                               06-Sep-2025 16:20             5257632
tempo-2.8.2-r1.apk                                 06-Sep-2025 16:20            29311066
tempo-cli-2.8.2-r1.apk                             06-Sep-2025 16:20            20743604
tempo-openrc-2.8.2-r1.apk                          06-Sep-2025 16:20                1907
tempo-query-2.8.2-r1.apk                           06-Sep-2025 16:20             6574557
tempo-vulture-2.8.2-r1.apk                         06-Sep-2025 16:20            13052857
tempo-vulture-openrc-2.8.2-r1.apk                  06-Sep-2025 16:20                2009
tenv-4.7.6-r3.apk                                  06-Sep-2025 16:20             9645886
tenv-bash-completion-4.7.6-r3.apk                  06-Sep-2025 16:20                6245
tenv-fish-completion-4.7.6-r3.apk                  06-Sep-2025 16:20                4425
tenv-zsh-completion-4.7.6-r3.apk                   06-Sep-2025 16:20                4140
tere-1.6.0-r0.apk                                  25-Oct-2024 19:10              994726
tere-doc-1.6.0-r0.apk                              25-Oct-2024 19:10               14324
termbox-1.1.2-r1.apk                               25-Oct-2024 19:10               13057
termbox-dev-1.1.2-r1.apk                           25-Oct-2024 19:10                5868
termbox-static-1.1.2-r1.apk                        25-Oct-2024 19:10               13481
termcolor-2.1.0-r0.apk                             25-Oct-2024 19:10                1502
termcolor-dev-2.1.0-r0.apk                         25-Oct-2024 19:10                6998
terminalpp-0.8.4-r0.apk                            25-Oct-2024 19:10              394640
terminalpp-ropen-0.8.4-r0.apk                      25-Oct-2024 19:10               56581
terminology-1.14.0-r0.apk                          27-May-2025 22:49             2818210
terminology-doc-1.14.0-r0.apk                      27-May-2025 22:49                9211
terminology-lang-1.14.0-r0.apk                     27-May-2025 22:49              146409
termusic-mpv-0.7.11-r0.apk                         25-Oct-2024 19:10             6243862
tetragon-client-1.1.2-r8.apk                       06-Sep-2025 16:20            19697637
tetragon-client-bash-completion-1.1.2-r8.apk       06-Sep-2025 16:20                5190
tetragon-client-fish-completion-1.1.2-r8.apk       06-Sep-2025 16:20                4430
tetragon-client-zsh-completion-1.1.2-r8.apk        06-Sep-2025 16:20                4147
texlab-5.23.1-r0.apk                               22-Aug-2025 07:31             9047136
texmaker-6.0.1-r0.apk                              27-Jun-2025 07:41            12710539
texstudio-4.8.9-r0.apk                             14-Sep-2025 16:50            86259309
tfupdate-0.8.2-r9.apk                              06-Sep-2025 16:20             4818968
tfupdate-doc-0.8.2-r9.apk                          06-Sep-2025 16:20                2323
thanos-0.31.0-r16.apk                              06-Sep-2025 16:20            20875641
thanos-openrc-0.31.0-r16.apk                       06-Sep-2025 16:20                1992
theforceengine-1.09.540-r1.apk                     25-Oct-2024 19:11             7146891
theforceengine-doc-1.09.540-r1.apk                 25-Oct-2024 19:11             6631412
thefuck-3.32-r5.apk                                25-Oct-2024 19:11               85338
thefuck-pyc-3.32-r5.apk                            25-Oct-2024 19:11              159462
thelounge-4.4.3-r0.apk                             25-Oct-2024 19:11            29447860
thelounge-doc-4.4.3-r0.apk                         25-Oct-2024 19:11                2357
thelounge-openrc-4.4.3-r0.apk                      25-Oct-2024 19:11                2084
theme.sh-1.1.5-r0.apk                              25-Oct-2024 19:11               40011
theme.sh-doc-1.1.5-r0.apk                          25-Oct-2024 19:11                2374
throttled-0.10.0-r1.apk                            15-Dec-2024 19:25               14904
throttled-openrc-0.10.0-r1.apk                     15-Dec-2024 19:25                1644
throttled-pyc-0.10.0-r1.apk                        15-Dec-2024 19:25               29048
thumbdrives-0.3.2-r2.apk                           25-Oct-2024 19:11               11209
thunar-gtkhash-plugin-1.5-r0.apk                   25-Oct-2024 19:11               23188
thunarx-python-0.5.2-r2.apk                        25-Oct-2024 19:11               10121
thunarx-python-doc-0.5.2-r2.apk                    25-Oct-2024 19:11               25811
tick-1.2.2-r0.apk                                  14-Jan-2025 01:26               11412
tick-doc-1.2.2-r0.apk                              14-Jan-2025 01:26                5596
ticker-4.8.1-r5.apk                                06-Sep-2025 16:20             4051379
ticker-bash-completion-4.8.1-r5.apk                06-Sep-2025 16:20                4680
ticker-fish-completion-4.8.1-r5.apk                06-Sep-2025 16:20                3974
ticker-zsh-completion-4.8.1-r5.apk                 06-Sep-2025 16:20                3812
timeshift-25.07.7-r0.apk                           06-Sep-2025 16:20              468019
timeshift-doc-25.07.7-r0.apk                       06-Sep-2025 16:20                3244
timeshift-lang-25.07.7-r0.apk                      06-Sep-2025 16:20              949296
timew-1.4.3-r1.apk                                 25-Oct-2024 19:11              255487
timew-bash-completion-1.4.3-r1.apk                 25-Oct-2024 19:11                2828
timew-doc-1.4.3-r1.apk                             25-Oct-2024 19:11               54422
timewarrior-1.7.1-r0.apk                           25-Oct-2024 19:11              269671
timewarrior-doc-1.7.1-r0.apk                       25-Oct-2024 19:11               22732
timoni-0.23.0-r8.apk                               06-Sep-2025 16:20            23205186
timoni-bash-completion-0.23.0-r8.apk               06-Sep-2025 16:20                8142
timoni-doc-0.23.0-r8.apk                           06-Sep-2025 16:20              346039
timoni-fish-completion-0.23.0-r8.apk               06-Sep-2025 16:20                4424
timoni-zsh-completion-0.23.0-r8.apk                06-Sep-2025 16:20                4138
tintin-2.02.31-r0.apk                              25-Oct-2024 19:11             1938495
tinyemu-2019.12.21-r0.apk                          25-May-2025 23:35              150283
tinygltf-2.9.6-r0.apk                              08-Jun-2025 16:33              141524
tinygltf-dev-2.9.6-r0.apk                          08-Jun-2025 16:33               58525
tinymist-0.13.31-r0.apk                            26-Sep-2025 12:14            19600137
tinyscheme-1.42-r1.apk                             25-Oct-2024 19:11               59714
tk9-9.0.2-r0.apk                                   03-Jul-2025 08:13              845648
tk9-dev-9.0.2-r0.apk                               03-Jul-2025 08:13               83393
tk9-doc-9.0.2-r0.apk                               03-Jul-2025 08:13             1387769
tldr-python-client-3.3.0-r0.apk                    01-Dec-2024 17:09               12405
tldr-python-client-doc-3.3.0-r0.apk                01-Dec-2024 17:09                3590
tldr-python-client-pyc-3.3.0-r0.apk                01-Dec-2024 17:09               14514
tmate-2.4.0-r4.apk                                 25-Oct-2024 19:11              271250
tmate-doc-2.4.0-r4.apk                             25-Oct-2024 19:11               73443
tmpl-0.4.0-r14.apk                                 06-Sep-2025 16:20             2664556
tmpl-doc-0.4.0-r14.apk                             06-Sep-2025 16:20                2303
tmpmail-1.2.3-r2.apk                               25-Oct-2024 19:11                7194
tmpmail-doc-1.2.3-r2.apk                           25-Oct-2024 19:11                3325
tmux-resurrect-4.0.0-r0.apk                        25-Oct-2024 19:11               14183
tmux-resurrect-doc-4.0.0-r0.apk                    25-Oct-2024 19:11                8593
tmux-rime-0.0.5-r0.apk                             15-Aug-2025 10:22                7833
tmux-rime-dev-0.0.5-r0.apk                         15-Aug-2025 10:22                5138
tncattach-0.1.9-r1.apk                             25-Oct-2024 19:11               22754
tncattach-doc-0.1.9-r1.apk                         25-Oct-2024 19:11                3997
tnef-1.4.18-r0.apk                                 25-Oct-2024 19:11               25861
tnef-doc-1.4.18-r0.apk                             25-Oct-2024 19:11                4320
toapk-1.0-r0.apk                                   25-Oct-2024 19:11               11000
today-6.2.1-r0.apk                                 17-Jun-2025 15:29                3258
today-doc-6.2.1-r0.apk                             17-Jun-2025 15:29                3387
tofu-ls-0.0.9-r1.apk                               06-Sep-2025 16:20             5712006
tofu-ls-doc-0.0.9-r1.apk                           06-Sep-2025 16:20               35949
tofutf-0.10.0-r8.apk                               06-Sep-2025 16:20                1294
tofutf-agent-0.10.0-r8.apk                         06-Sep-2025 16:20             9062443
tofutf-agent-openrc-0.10.0-r8.apk                  06-Sep-2025 16:20                2039
tofutf-cli-0.10.0-r8.apk                           06-Sep-2025 16:20             8371351
tofutf-server-0.10.0-r8.apk                        06-Sep-2025 16:20            13243354
tofutf-server-openrc-0.10.0-r8.apk                 06-Sep-2025 16:20                2046
tokay-0.6.12-r0.apk                                15-Aug-2025 14:59              954810
tokay-doc-0.6.12-r0.apk                            15-Aug-2025 14:59                5223
tomcat9-9.0.109-r0.apk                             22-Sep-2025 13:10             7069890
tomcat9-admin-9.0.109-r0.apk                       22-Sep-2025 13:10              137215
tomcat9-doc-9.0.109-r0.apk                         22-Sep-2025 13:10             1810107
tomcat9-examples-9.0.109-r0.apk                    22-Sep-2025 13:10              449578
tomcat9-openrc-9.0.109-r0.apk                      22-Sep-2025 13:10                4712
toml2json-1.3.2-r0.apk                             01-Sep-2025 13:13              335655
toml2json-doc-1.3.2-r0.apk                         01-Sep-2025 13:13                3791
tonutils-reverse-proxy-0.4.6-r2.apk                06-Sep-2025 16:20             4339547
tonutils-reverse-proxy-doc-0.4.6-r2.apk            06-Sep-2025 16:20                3309
topgit-0.19.13-r1.apk                              25-Oct-2024 19:11              129693
topgit-bash-completion-0.19.13-r1.apk              25-Oct-2024 19:11                4123
topgit-doc-0.19.13-r1.apk                          25-Oct-2024 19:11               75184
torrent-file-editor-0.3.18-r0.apk                  25-Oct-2024 19:11              374853
toss-1.1-r1.apk                                    29-May-2025 12:00               12655
touchpad-emulator-0.3-r0.apk                       26-May-2025 09:33               13450
toybox-0.8.12-r0.apk                               23-Jul-2025 04:04              294531
tpm2-pkcs11-1.9.1-r0.apk                           25-Oct-2024 19:11              132382
tpm2-pkcs11-dev-1.9.1-r0.apk                       25-Oct-2024 19:11                1921
tpm2-pkcs11-pyc-1.9.1-r0.apk                       25-Oct-2024 19:11               70580
tpp-bypass-0.8.4-r0.apk                            25-Oct-2024 19:11               12913
tqm-1.17.0-r0.apk                                  23-Sep-2025 20:43             4595570
trace-cmd-3.3.1-r1.apk                             26-Jan-2025 06:27              168373
trace-cmd-bash-completion-3.3.1-r1.apk             26-Jan-2025 06:27                3431
trace-cmd-dbg-3.3.1-r1.apk                         26-Jan-2025 06:27              518970
trace-cmd-doc-3.3.1-r1.apk                         26-Jan-2025 06:27              175376
transito-0.9.1-r11.apk                             06-Sep-2025 16:20             8348639
transito-doc-0.9.1-r11.apk                         06-Sep-2025 16:20              773129
transmission-remote-gtk-1.6.0-r0.apk               25-Oct-2024 19:11              147907
transmission-remote-gtk-doc-1.6.0-r0.apk           25-Oct-2024 19:11                4326
transmission-remote-gtk-lang-1.6.0-r0.apk          25-Oct-2024 19:11              108549
trantor-1.5.18-r0.apk                              25-Oct-2024 19:11              223651
trantor-dev-1.5.18-r0.apk                          25-Oct-2024 19:11               34818
trantor-doc-1.5.18-r0.apk                          25-Oct-2024 19:11                2673
tre-0.8.0-r2.apk                                   25-Oct-2024 19:11               26670
tre-dev-0.8.0-r2.apk                               25-Oct-2024 19:11                5301
tre-static-0.8.0-r2.apk                            25-Oct-2024 19:11               28701
trealla-2.83.13-r0.apk                             03-Oct-2025 17:30              858877
trealla-doc-2.83.13-r0.apk                         03-Oct-2025 17:30               25894
tree-sitter-caddy-0_git20230322-r0.apk             25-Oct-2024 19:11               72070
tree-sitter-caddy-doc-0_git20230322-r0.apk         25-Oct-2024 19:11                2340
tree-sitter-clojure-0.0.13-r0.apk                  23-Jul-2025 11:59               23469
tree-sitter-dart-0_git20250228-r0.apk              11-Mar-2025 03:55              101653
tree-sitter-git-commit-0_git20211225-r4.apk        25-Jul-2025 16:54               13285
tree-sitter-git-diff-0_git20230730-r1.apk          25-Jul-2025 16:54               10185
tree-sitter-git-rebase-0_git20240722-r0.apk        11-Mar-2025 03:55                5596
tree-sitter-gleam-1.0.0-r0.apk                     25-Oct-2024 19:11               43257
tree-sitter-hare-0_git20230616-r2.apk              23-Jul-2025 04:04               33647
tree-sitter-haskell-0.23.1-r0.apk                  09-Jan-2025 16:04              290511
tree-sitter-hcl-1.2.0-r0.apk                       19-Jun-2025 00:45               23078
tree-sitter-just-0_git20230318-r0.apk              25-Oct-2024 19:11               15414
tree-sitter-make-0_git20211216-r2.apk              25-Oct-2024 19:11               42893
tree-sitter-pascal-0.9.1-r0.apk                    25-Oct-2024 19:11               83009
tree-sitter-pascal-doc-0.9.1-r0.apk                25-Oct-2024 19:11                2324
treecat-1.0.2_git20240706-r1.apk                   29-Nov-2024 12:12              146554
treecat-doc-1.0.2_git20240706-r1.apk               29-Nov-2024 12:12                3363
tremc-0.9.4-r0.apk                                 16-Jul-2025 08:48               53845
tremc-bash-completion-0.9.4-r0.apk                 16-Jul-2025 08:48                1946
tremc-doc-0.9.4-r0.apk                             16-Jul-2025 08:48                2892
tremc-zsh-completion-0.9.4-r0.apk                  16-Jul-2025 08:48                1861
triforce-0.3.2-r0.apk                              03-Jun-2025 07:03              378830
trigger-rally-0.6.7-r3.apk                         26-Mar-2025 11:51              301101
trigger-rally-data-0.6.7-r3.apk                    26-Mar-2025 11:51           369090776
trigger-rally-doc-0.6.7-r3.apk                     26-Mar-2025 11:51               28810
trippy-0.13.0-r0.apk                               18-May-2025 23:20             2044728
trippy-bash-completion-0.13.0-r0.apk               18-May-2025 23:20                3262
trippy-zsh-completion-0.13.0-r0.apk                18-May-2025 23:20                4967
trivy-0.66.0-r1.apk                                06-Sep-2025 16:20            65808156
tsung-1.8.0-r3.apk                                 13-Jun-2025 05:35              739075
ttfautohint-1.8.4-r0.apk                           25-Oct-2024 19:11               29659
ttfautohint-dev-1.8.4-r0.apk                       25-Oct-2024 19:11              162497
ttfautohint-doc-1.8.4-r0.apk                       25-Oct-2024 19:11                8290
ttfautohint-gui-1.8.4-r0.apk                       25-Oct-2024 19:11               60411
ttfautohint-libs-1.8.4-r0.apk                      25-Oct-2024 19:11              110434
tty-clock-2.3_git20240104-r0.apk                   25-Oct-2024 19:11                8845
tty-clock-doc-2.3_git20240104-r0.apk               25-Oct-2024 19:11                3190
tty-proxy-0.0.2-r31.apk                            06-Sep-2025 16:20             2577801
tty-share-2.4.0-r21.apk                            06-Sep-2025 16:20             3742342
ttynvt-0.17-r0.apk                                 22-Aug-2025 12:50               15485
ttyper-1.6.0-r0.apk                                05-Feb-2025 21:45              572488
tui-journal-0.10.0-r0.apk                          25-Oct-2024 19:11             1931341
tui-journal-doc-0.10.0-r0.apk                      25-Oct-2024 19:11                7062
tuned-2.26.0-r0.apk                                27-Aug-2025 04:27              164263
tuned-bash-completion-2.26.0-r0.apk                27-Aug-2025 04:27                1966
tuned-doc-2.26.0-r0.apk                            27-Aug-2025 04:27               80761
tuned-gtk-2.26.0-r0.apk                            27-Aug-2025 04:27               22517
tuned-openrc-2.26.0-r0.apk                         27-Aug-2025 04:27                1742
tuned-ppd-2.26.0-r0.apk                            27-Aug-2025 04:27                3777
tuned-ppd-openrc-2.26.0-r0.apk                     27-Aug-2025 04:27                1793
tuned-profiles-2.26.0-r0.apk                       27-Aug-2025 04:27                8507
tuned-profiles-compat-2.26.0-r0.apk                27-Aug-2025 04:27                3662
tuned-pyc-2.26.0-r0.apk                            27-Aug-2025 04:27              343220
tuned-utils-2.26.0-r0.apk                          27-Aug-2025 04:27               10809
tup-0.7.11-r1.apk                                  19-Jun-2025 08:44              235782
tup-doc-0.7.11-r1.apk                              19-Jun-2025 08:44               21368
tup-vim-0.7.11-r1.apk                              19-Jun-2025 08:44                2575
tuptime-5.2.4-r2.apk                               06-Sep-2025 16:20               14301
tuptime-doc-5.2.4-r2.apk                           06-Sep-2025 16:20                3892
tuptime-openrc-5.2.4-r2.apk                        06-Sep-2025 16:20                1792
turn-rs-3.4.0-r1.apk                               12-Jun-2025 15:22              554406
turn-rs-doc-3.4.0-r1.apk                           12-Jun-2025 15:22               11086
turn-rs-openrc-3.4.0-r1.apk                        12-Jun-2025 15:22                2002
turnstile-0.1.10-r3.apk                            25-Oct-2024 19:11               38894
turnstile-doc-0.1.10-r3.apk                        25-Oct-2024 19:11                5836
turnstile-openrc-0.1.10-r3.apk                     25-Oct-2024 19:11                1846
turntable-0.3.3-r0.apk                             27-May-2025 13:01              179768
turntable-lang-0.3.3-r0.apk                        27-May-2025 13:01               12638
twemproxy-0.5.0-r0.apk                             25-Oct-2024 19:11               69086
twemproxy-doc-0.5.0-r0.apk                         25-Oct-2024 19:11               17616
twiggy-0.6.0-r3.apk                                25-Oct-2024 19:11              782512
twinkle-1.10.3-r3.apk                              04-Jan-2025 21:55             2485015
twinkle-doc-1.10.3-r3.apk                          04-Jan-2025 21:55                3580
ty-0.0.1_alpha19-r0.apk                            01-Sep-2025 00:24             5685411
ty-bash-completion-0.0.1_alpha19-r0.apk            01-Sep-2025 00:24                2606
ty-fish-completion-0.0.1_alpha19-r0.apk            01-Sep-2025 00:24                3152
ty-pyc-0.0.1_alpha19-r0.apk                        01-Sep-2025 00:24                3973
ty-zsh-completion-0.0.1_alpha19-r0.apk             01-Sep-2025 00:24                3475
typlite-0.13.31-r0.apk                             26-Sep-2025 12:14            15545914
typobuster-1.0.0-r0.apk                            03-May-2025 20:03              132459
typstyle-0.12.14-r0.apk                            12-Jan-2025 13:52              486227
u-boot-s5p6818-1.2-r0.apk                          25-Oct-2024 19:11              179339
u1db-qt-0.1.8-r0.apk                               25-Oct-2024 19:11               99756
uasm-2.56.2-r0.apk                                 25-Oct-2024 19:11              297565
ubase-20200605-r3.apk                              25-Oct-2024 19:11               45335
ubase-doc-20200605-r3.apk                          25-Oct-2024 19:11               21746
ubuntu-archive-keyring-2023.11.28.1-r0.apk         25-Oct-2024 19:11               16549
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk     25-Oct-2024 19:11                2337
ubus-2025.05.16-r0.apk                             11-Aug-2025 20:10               37507
ubus-dev-2025.05.16-r0.apk                         11-Aug-2025 20:10                5724
uclient-20241022-r0.apk                            30-May-2025 01:38               19830
uclient-dev-20241022-r0.apk                        30-May-2025 01:38                3631
uclient-fetch-20241022-r0.apk                      30-May-2025 01:38               10633
udpt-3.1.2-r0.apk                                  25-Oct-2024 19:11              689193
udpt-openrc-3.1.2-r0.apk                           25-Oct-2024 19:11                1843
ueberzug-18.3.1-r0.apk                             22-Feb-2025 16:07               66866
ueberzug-pyc-18.3.1-r0.apk                         22-Feb-2025 16:07               65296
ufw-docker-250710-r0.apk                           14-Sep-2025 06:51                7605
ufw-docker-doc-250710-r0.apk                       14-Sep-2025 06:51               13902
undock-0.10.0-r3.apk                               06-Sep-2025 16:20            10042674
unicorn-2.0.1-r4.apk                               25-Oct-2024 19:11             6856760
unicorn-dev-2.0.1-r4.apk                           25-Oct-2024 19:11             8156399
unit-php81-1.35.0-r1.apk                           15-Sep-2025 15:03               33369
unit-php85-1.35.0-r2.apk                           24-Sep-2025 23:33               33110
up-0.4-r32.apk                                     06-Sep-2025 16:20             1222228
upterm-0.14.3-r8.apk                               06-Sep-2025 16:20             6020963
upterm-bash-completion-0.14.3-r8.apk               06-Sep-2025 16:20                5659
upterm-doc-0.14.3-r8.apk                           06-Sep-2025 16:20                6530
upterm-server-0.14.3-r8.apk                        06-Sep-2025 16:20             5899511
upterm-server-openrc-0.14.3-r8.apk                 06-Sep-2025 16:20                1919
upterm-zsh-completion-0.14.3-r8.apk                06-Sep-2025 16:20                4139
uranium-5.2.2-r3.apk                               25-Oct-2024 19:11              609835
urlwatch-2.28-r2.apk                               25-Oct-2024 19:11               49877
urlwatch-doc-2.28-r2.apk                           25-Oct-2024 19:11               33987
urlwatch-pyc-2.28-r2.apk                           25-Oct-2024 19:11              103816
usbmuxd-1.1.1_git20250201-r9.apk                   24-Aug-2025 12:37               36068
usbmuxd-doc-1.1.1_git20250201-r9.apk               24-Aug-2025 12:37                3077
usbmuxd-udev-1.1.1_git20250201-r9.apk              24-Aug-2025 12:37                2139
usbtop-1.0-r0.apk                                  13-Apr-2025 20:28               13819
usql-0.15.6-r16.apk                                06-Sep-2025 16:20            29111392
ustr-1.0.4-r1.apk                                  25-Oct-2024 19:11               59549
ustr-debug-1.0.4-r1.apk                            25-Oct-2024 19:11               75020
ustr-dev-1.0.4-r1.apk                              25-Oct-2024 19:11               93644
ustr-doc-1.0.4-r1.apk                              25-Oct-2024 19:11               98898
ustr-static-1.0.4-r1.apk                           25-Oct-2024 19:11              170351
utop-2.9.1-r4.apk                                  25-Oct-2024 19:11            13926246
utop-common-2.9.1-r4.apk                           25-Oct-2024 19:11                2092
utop-doc-2.9.1-r4.apk                              25-Oct-2024 19:11                5882
utop-emacs-2.9.1-r4.apk                            25-Oct-2024 19:11               13142
utop-full-2.9.1-r4.apk                             25-Oct-2024 19:11            13930710
uucp-1.07-r6.apk                                   25-Oct-2024 19:11              498246
uucp-doc-1.07-r6.apk                               25-Oct-2024 19:11              120933
uxn-1.0-r0.apk                                     25-Oct-2024 19:11               43301
uxn-doc-1.0-r0.apk                                 25-Oct-2024 19:11                4281
vals-0.42.0-r2.apk                                 06-Sep-2025 16:20            35922616
varnish-modules-0.26.0-r0.apk                      06-Jul-2025 18:24               55617
varnish-modules-doc-0.26.0-r0.apk                  06-Jul-2025 18:24               22048
vcdimager-2.0.1-r5.apk                             26-Jan-2025 06:27              482493
vcdimager-dev-2.0.1-r5.apk                         26-Jan-2025 06:27              127598
vcdimager-doc-2.0.1-r5.apk                         26-Jan-2025 06:27               76071
vcmi-1.6.8-r2.apk                                  27-Sep-2025 13:54            13180832
vcsh-2.0.5-r0.apk                                  25-Oct-2024 19:11                9002
vcsh-bash-completion-2.0.5-r0.apk                  25-Oct-2024 19:11                2988
vcsh-doc-2.0.5-r0.apk                              25-Oct-2024 19:11               27844
vcsh-zsh-completion-2.0.5-r0.apk                   25-Oct-2024 19:11                2997
vcstool-0.3.0-r5.apk                               25-Oct-2024 19:11               35636
vcstool-bash-completion-0.3.0-r5.apk               25-Oct-2024 19:11                1800
vcstool-pyc-0.3.0-r5.apk                           25-Oct-2024 19:11               59107
vcstool-tcsh-completion-0.3.0-r5.apk               25-Oct-2024 19:11                1674
vcstool-zsh-completion-0.3.0-r5.apk                25-Oct-2024 19:11                1766
vector-0.49.0-r1.apk                               06-Sep-2025 16:20            23659354
vector-doc-0.49.0-r1.apk                           06-Sep-2025 16:20                5519
vector-openrc-0.49.0-r1.apk                        06-Sep-2025 16:20                2243
vectoroids-1.1.0-r2.apk                            25-Oct-2024 19:11              287931
vectoroids-doc-1.1.0-r2.apk                        25-Oct-2024 19:11                2352
vera++-1.3.0-r10.apk                               25-Oct-2024 19:11              260160
vfd-configurations-0_git20230612-r0.apk            25-Oct-2024 19:11               25387
vice-3.9-r2.apk                                    27-Sep-2025 13:54            13492248
vice-doc-3.9-r2.apk                                27-Sep-2025 13:54             2304053
victoria-logs-1.35.0-r0.apk                        27-Sep-2025 11:44             5136107
victoria-logs-openrc-1.35.0-r0.apk                 27-Sep-2025 11:44                2418
victoria-logs-vlagent-1.35.0-r0.apk                27-Sep-2025 11:44             3716962
victoria-logs-vlogscli-1.35.0-r0.apk               27-Sep-2025 11:44             3203003
vidcutter-6.0.5.3-r0.apk                           25-Oct-2024 19:11             2925002
vidcutter-doc-6.0.5.3-r0.apk                       25-Oct-2024 19:11               24778
vidcutter-pyc-6.0.5.3-r0.apk                       25-Oct-2024 19:11             1996674
video-trimmer-25.03-r0.apk                         30-Jun-2025 13:44              366259
video-trimmer-lang-25.03-r0.apk                    30-Jun-2025 13:44               94810
viewnior-1.8-r1.apk                                25-Oct-2024 19:11               75315
viewnior-doc-1.8-r1.apk                            25-Oct-2024 19:11                2149
viewnior-lang-1.8-r1.apk                           25-Oct-2024 19:11               87189
vile-9.8z_p1-r1.apk                                30-Jun-2025 07:25              767314
vile-common-9.8z_p1-r1.apk                         30-Jun-2025 07:25              370639
vile-doc-9.8z_p1-r1.apk                            30-Jun-2025 07:25              365744
vim-airline-0.11-r0.apk                            25-Oct-2024 19:11               88464
vim-airline-doc-0.11-r0.apk                        25-Oct-2024 19:11               12419
vim-nerdtree-7.1.3-r0.apk                          04-Mar-2025 21:00               68158
vim-rust-305-r1.apk                                21-Aug-2025 07:55               20374
virtctl-1.6.0-r2.apk                               06-Sep-2025 16:20            14743988
virtctl-bash-completion-1.6.0-r2.apk               06-Sep-2025 16:20                5242
virtctl-fish-completion-1.6.0-r2.apk               06-Sep-2025 16:20                4428
virtctl-zsh-completion-1.6.0-r2.apk                06-Sep-2025 16:20                4137
virter-0.29.0-r3.apk                               06-Sep-2025 16:20             5762067
virter-bash-completion-0.29.0-r3.apk               06-Sep-2025 16:20                6249
virter-doc-0.29.0-r3.apk                           06-Sep-2025 16:20               15235
virter-fish-completion-0.29.0-r3.apk               06-Sep-2025 16:20                4431
virter-zsh-completion-0.29.0-r3.apk                06-Sep-2025 16:20                4143
virtualgl-3.1.3-r0.apk                             03-May-2025 20:03             1882656
virtualgl-dev-3.1.3-r0.apk                         03-May-2025 20:03                6263
virtualgl-doc-3.1.3-r0.apk                         03-May-2025 20:03              321211
visidata-3.3-r0.apk                                15-Sep-2025 13:56              434842
visidata-doc-3.3-r0.apk                            15-Sep-2025 13:56               18831
visidata-pyc-3.3-r0.apk                            15-Sep-2025 13:56              866106
visidata-zsh-completion-3.3-r0.apk                 15-Sep-2025 13:56                9660
vit-2.3.2-r1.apk                                   25-Oct-2024 19:11               82409
vit-pyc-2.3.2-r1.apk                               25-Oct-2024 19:11              155115
vixl-8.0.0-r0.apk                                  15-Jul-2025 17:26              827558
vixl-dev-8.0.0-r0.apk                              15-Jul-2025 17:26              354934
vkbasalt-0.3.2.10-r0.apk                           25-Oct-2024 19:11              406947
vkbasalt-doc-0.3.2.10-r0.apk                       25-Oct-2024 19:11                3150
vlang-0.4.11-r0.apk                                21-Jun-2025 13:58            31774168
vmtouch-1.3.1-r0.apk                               25-Oct-2024 19:11               12251
vmtouch-doc-1.3.1-r0.apk                           25-Oct-2024 19:11                8229
voikko-fi-2.5-r0.apk                               25-Oct-2024 19:11             1638403
volatility3-2.26.0-r0.apk                          13-Aug-2025 15:28             1061378
volatility3-pyc-2.26.0-r0.apk                      13-Aug-2025 15:28             1294151
volumeicon-0.5.1-r1.apk                            25-Oct-2024 19:11               43459
volumeicon-lang-0.5.1-r1.apk                       25-Oct-2024 19:11                3849
vscodium-1.103.25610-r3.apk                        23-Sep-2025 03:32            28958125
vscodium-bash-completion-1.103.25610-r3.apk        23-Sep-2025 03:32                2248
vscodium-zsh-completion-1.103.25610-r3.apk         23-Sep-2025 03:32                2728
vym-2.9.26-r0.apk                                  25-Oct-2024 19:11             2917167
vym-doc-2.9.26-r0.apk                              25-Oct-2024 19:11             3555374
w_scan2-1.0.17-r0.apk                              11-Jun-2025 17:40              135469
w_scan2-doc-1.0.17-r0.apk                          11-Jun-2025 17:40                4250
wabt-1.0.37-r0.apk                                 06-Apr-2025 21:37             4106042
wabt-doc-1.0.37-r0.apk                             06-Apr-2025 21:37               13740
waifu2x-converter-cpp-5.3.4-r9.apk                 07-Jul-2025 07:32            12155661
wakeonlan-0.42-r0.apk                              25-Oct-2024 19:11                4611
wakeonlan-doc-0.42-r0.apk                          25-Oct-2024 19:11                7696
walk-1.13.0-r6.apk                                 06-Sep-2025 16:20             3063753
walk-doc-1.13.0-r6.apk                             06-Sep-2025 16:20                2287
walk-sor-0_git20190920-r1.apk                      25-Oct-2024 19:11                5834
walk-sor-doc-0_git20190920-r1.apk                  25-Oct-2024 19:11                7958
warp-s3-1.3.0-r1.apk                               06-Sep-2025 16:20             8077634
warpinator-1.8.9-r0.apk                            26-Jul-2025 20:09              221195
warpinator-lang-1.8.9-r0.apk                       26-Jul-2025 20:09              227590
warpinator-nemo-1.8.9-r0.apk                       26-Jul-2025 20:09                4267
warzone2100-4.5.5-r3.apk                           10-Apr-2025 20:50             6223493
warzone2100-data-4.5.5-r3.apk                      10-Apr-2025 20:50           343970932
warzone2100-doc-4.5.5-r3.apk                       10-Apr-2025 20:50             1585547
warzone2100-lang-4.5.5-r3.apk                      10-Apr-2025 20:50             2695683
wasmtime-34.0.1-r0.apk                             23-Jul-2025 04:04             6759488
wasmtime-dev-34.0.1-r0.apk                         23-Jul-2025 04:04               93016
watchbind-0.2.1-r1.apk                             25-Oct-2024 19:11             1049300
watchbind-doc-0.2.1-r1.apk                         25-Oct-2024 19:11                6721
watchdog-5.16-r2.apk                               25-Oct-2024 19:11               49163
watchdog-doc-5.16-r2.apk                           25-Oct-2024 19:11               14562
watershot-0.2.0-r0.apk                             25-Oct-2024 19:11             1724820
way-displays-1.15.0-r0.apk                         30-Sep-2025 09:47              111837
way-displays-doc-1.15.0-r0.apk                     30-Sep-2025 09:47                4659
way-secure-0.2.0-r0.apk                            18-Apr-2025 22:10              193074
way-secure-doc-0.2.0-r0.apk                        18-Apr-2025 22:10                3104
wayfire-0.9.0-r0.apk                               27-Feb-2025 20:17             2604520
wayfire-dev-0.9.0-r0.apk                           27-Feb-2025 20:17              132910
wayfire-doc-0.9.0-r0.apk                           27-Feb-2025 20:17                3727
wayfire-plugins-extra-0.9.0-r0.apk                 27-Feb-2025 20:17              579406
waylevel-1.0.0-r1.apk                              25-Oct-2024 19:11              296872
waynergy-0.0.17-r1.apk                             13-Sep-2025 01:00               52495
wayqt-0.3.0-r1.apk                                 21-Aug-2025 07:47              137625
wayqt-dev-0.3.0-r1.apk                             21-Aug-2025 07:47               18799
wazero-1.9.0-r5.apk                                06-Sep-2025 16:20             2041283
wbg-1.3.0-r0.apk                                   12-Aug-2025 05:08               40591
wch-isp-0.4.1-r2.apk                               25-Oct-2024 19:11               10871
wch-isp-doc-0.4.1-r2.apk                           25-Oct-2024 19:11                2723
wch-isp-udev-rules-0.4.1-r2.apk                    25-Oct-2024 19:11                1686
wcm-0.9.0-r0.apk                                   27-Feb-2025 20:17              374678
webhookd-1.20.2-r3.apk                             06-Sep-2025 16:20             3251378
webhookd-doc-1.20.2-r3.apk                         06-Sep-2025 16:20                2311
webhookd-openrc-1.20.2-r3.apk                      06-Sep-2025 16:20                2301
webtunnel-0.0.2-r4.apk                             06-Sep-2025 16:20             3663989
weggli-0.2.4-r1.apk                                25-Oct-2024 19:11              832077
welle-cli-2.7-r0.apk                               07-Apr-2025 18:40              309651
welle-io-2.7-r0.apk                                07-Apr-2025 18:40              410976
welle-io-doc-2.7-r0.apk                            07-Apr-2025 18:40                4073
wf-config-0.9.0-r0.apk                             27-Feb-2025 20:17              108368
wf-config-dev-0.9.0-r0.apk                         27-Feb-2025 20:17               16647
wf-shell-0.9.0-r0.apk                              27-Feb-2025 20:17             6377549
wf-shell-dev-0.9.0-r0.apk                          27-Feb-2025 20:17                1690
wf-shell-doc-0.9.0-r0.apk                          27-Feb-2025 20:17                3156
wgcf-2.2.26-r3.apk                                 06-Sep-2025 16:20             3943140
wgcf-bash-completion-2.2.26-r3.apk                 06-Sep-2025 16:20                6239
wgcf-fish-completion-2.2.26-r3.apk                 06-Sep-2025 16:20                4421
wgcf-zsh-completion-2.2.26-r3.apk                  06-Sep-2025 16:20                4136
whatsie-4.16.3-r0.apk                              12-Jan-2025 13:30            16024469
whatsie-doc-4.16.3-r0.apk                          12-Jan-2025 13:30                2254
whipper-0.10.0-r5.apk                              25-Oct-2024 19:11              116216
whipper-pyc-0.10.0-r5.apk                          25-Oct-2024 19:11              189495
wiki-tui-0.8.2-r1.apk                              25-Oct-2024 19:11             1471146
wiki-tui-doc-0.8.2-r1.apk                          25-Oct-2024 19:11                4716
wine-staging-10.15-r1.apk                          03-Oct-2025 08:50           167434675
wine-staging-dev-10.15-r1.apk                      03-Oct-2025 08:50             9612866
wine-staging-doc-10.15-r1.apk                      03-Oct-2025 08:50               43687
wiremapper-0.10.0-r0.apk                           25-Oct-2024 19:11               22167
wiremix-0.7.0-r0.apk                               22-Aug-2025 12:01              760742
wiremix-doc-0.7.0-r0.apk                           22-Aug-2025 12:01                9626
wiringx-0_git20240317-r2.apk                       03-Mar-2025 16:40               60130
wiringx-dev-0_git20240317-r2.apk                   03-Mar-2025 16:40               86524
witchery-0.0.3-r2.apk                              25-Oct-2024 19:11                3277
wk-adblock-0.0.4-r5.apk                            25-Oct-2024 19:11              163976
wk-adblock-doc-0.0.4-r5.apk                        25-Oct-2024 19:11                2145
wl-clipboard-x11-5-r3.apk                          25-Oct-2024 19:11                3497
wl-clipboard-x11-doc-5-r3.apk                      25-Oct-2024 19:11                3006
wl-gammarelay-0.1.3-r2.apk                         06-Sep-2025 16:20             1672879
wl-kbptr-0.4.0-r0.apk                              23-Jul-2025 04:04               25789
wl-kbptr-doc-0.4.0-r0.apk                          23-Jul-2025 04:04                4895
wl-kbptr-full-0.4.0-r0.apk                         23-Jul-2025 04:04               32831
wl-screenrec-0.1.7-r1.apk                          27-Aug-2025 16:38              523009
wl-screenrec-bash-completion-0.1.7-r1.apk          27-Aug-2025 16:38                2476
wl-screenrec-doc-0.1.7-r1.apk                      27-Aug-2025 16:38                9732
wl-screenrec-fish-completion-0.1.7-r1.apk          27-Aug-2025 16:38                3295
wl-screenrec-zsh-completion-0.1.7-r1.apk           27-Aug-2025 16:38                3742
wlavu-0_git20201101-r1.apk                         25-Oct-2024 19:11               13066
wlclock-1.0.1-r0.apk                               25-Oct-2024 19:11               16511
wlclock-doc-1.0.1-r0.apk                           25-Oct-2024 19:11                3369
wlroots0.17-0.17.4-r3.apk                          13-Aug-2025 08:05              378876
wlroots0.17-dbg-0.17.4-r3.apk                      13-Aug-2025 08:05             1508767
wlroots0.17-dev-0.17.4-r3.apk                      13-Aug-2025 08:05               79271
wlroots0.18-0.18.2-r3.apk                          02-Oct-2025 18:35              390202
wlroots0.18-dbg-0.18.2-r3.apk                      02-Oct-2025 18:35             1573229
wlroots0.18-dev-0.18.2-r3.apk                      02-Oct-2025 18:35               84618
wlroots0.18-static-0.18.2-r3.apk                   02-Oct-2025 18:35             8576062
wmctrl-1.07-r1.apk                                 25-Oct-2024 19:11               13681
wmctrl-doc-1.07-r1.apk                             25-Oct-2024 19:11                5221
wok-3.0.0-r6.apk                                   25-Oct-2024 19:11              160359
wok-doc-3.0.0-r6.apk                               25-Oct-2024 19:11                3757
wok-lang-3.0.0-r6.apk                              25-Oct-2024 19:11               16425
wok-pyc-3.0.0-r6.apk                               25-Oct-2024 19:11              121524
wol-0.7.1-r3.apk                                   25-Oct-2024 19:11               26357
wol-doc-0.7.1-r3.apk                               25-Oct-2024 19:11                5654
wol-lang-0.7.1-r3.apk                              25-Oct-2024 19:11                8352
wolfssh-1.4.17-r1.apk                              21-Sep-2025 14:09              137086
wolfssh-dev-1.4.17-r1.apk                          21-Sep-2025 14:09              173967
wpa_actiond-1.4-r7.apk                             25-Oct-2024 19:11               10235
wpa_actiond-openrc-1.4-r7.apk                      25-Oct-2024 19:11                2271
wput-0.6.2-r4.apk                                  25-Oct-2024 19:11               39249
wput-doc-0.6.2-r4.apk                              25-Oct-2024 19:11                8443
wroomd-0.1.0-r0.apk                                25-Oct-2024 19:11             1004548
wroomd-openrc-0.1.0-r0.apk                         25-Oct-2024 19:11                1743
wshowkeys-1.0-r0.apk                               25-Oct-2024 19:11               14299
wsmancli-2.8.0-r0.apk                              14-Jul-2025 13:34               20311
wsmancli-doc-2.8.0-r0.apk                          14-Jul-2025 13:34                3754
wtfutil-0.43.0-r16.apk                             06-Sep-2025 16:20            19035575
x11docker-7.6.0-r1.apk                             25-Oct-2024 19:11              116164
x11docker-doc-7.6.0-r1.apk                         25-Oct-2024 19:11                9633
xa-2.4.1-r0.apk                                    25-Feb-2025 12:36               81289
xa-doc-2.4.1-r0.apk                                25-Feb-2025 12:36               17612
xcape-1.2-r1.apk                                   14-May-2025 18:17                7193
xcape-doc-1.2-r1.apk                               14-May-2025 18:17                3150
xcompmgr-1.1.10-r0.apk                             28-Jun-2025 11:24               15071
xcompmgr-doc-1.1.10-r0.apk                         28-Jun-2025 11:24                2660
xdg-desktop-portal-cosmic-1.0.0_beta1_p1-r0.apk    01-Oct-2025 23:03            10120471
xdg-desktop-portal-hyprland-1.3.10-r0.apk          25-Aug-2025 17:41              325113
xdg-desktop-portal-hyprland-doc-1.3.10-r0.apk      25-Aug-2025 17:41                2493
xdg-ninja-0.2.0.2-r0.apk                           25-Oct-2024 19:11               72164
xed-3.8.3-r0.apk                                   31-Jul-2025 20:37             1148999
xed-dev-3.8.3-r0.apk                               31-Jul-2025 20:37               13985
xed-doc-3.8.3-r0.apk                               31-Jul-2025 20:37              994238
xed-lang-3.8.3-r0.apk                              31-Jul-2025 20:37             2188165
xed-python-3.8.3-r0.apk                            31-Jul-2025 20:37               25081
xemu-0.8.74-r0.apk                                 19-Jun-2025 00:45             4368845
xendmail-0.4.4-r0.apk                              06-Aug-2025 08:51             1042487
xendmail-doc-0.4.4-r0.apk                          06-Aug-2025 08:51                2595
xfce4-hamster-plugin-1.17-r0.apk                   25-Oct-2024 19:11               36249
xfce4-hamster-plugin-lang-1.17-r0.apk              25-Oct-2024 19:11                5183
xfce4-mixer-4.18.1-r2.apk                          25-Oct-2024 19:11               89779
xfce4-mixer-doc-4.18.1-r2.apk                      25-Oct-2024 19:11                2559
xfce4-mixer-lang-4.18.1-r2.apk                     25-Oct-2024 19:11               60173
xfce4-panel-profiles-1.1.1-r0.apk                  06-Jul-2025 09:16               58967
xfce4-panel-profiles-doc-1.1.1-r0.apk              06-Jul-2025 09:16               20420
xfce4-panel-profiles-lang-1.1.1-r0.apk             06-Jul-2025 09:16               54753
xfd-1.1.4-r0.apk                                   25-Oct-2024 19:11               13848
xfd-doc-1.1.4-r0.apk                               25-Oct-2024 19:11                5044
xfsdump-3.2.0-r0.apk                               30-Aug-2025 20:48              373702
xfsdump-doc-3.2.0-r0.apk                           30-Aug-2025 20:48               43466
xgalaga-2.1.1.0-r1.apk                             25-Oct-2024 19:11              320862
xgalaga-doc-2.1.1.0-r1.apk                         25-Oct-2024 19:11                2605
xiccd-0.3.0_git20211219-r1.apk                     25-Oct-2024 19:11               16001
xiccd-doc-0.3.0_git20211219-r1.apk                 25-Oct-2024 19:11                3379
xisxwayland-2-r1.apk                               25-Oct-2024 19:11                4291
xisxwayland-doc-2-r1.apk                           25-Oct-2024 19:11                2018
xkb-switch-1.8.5-r1.apk                            14-May-2025 18:17               20452
xkb-switch-doc-1.8.5-r1.apk                        14-May-2025 18:17                2165
xlhtml-0.5.1-r0.apk                                25-Oct-2024 19:11               11819
xlhtml-doc-0.5.1-r0.apk                            25-Oct-2024 19:11                2563
xload-1.1.4-r0.apk                                 25-Oct-2024 19:11                6819
xload-doc-1.1.4-r0.apk                             25-Oct-2024 19:11                3281
xmag-1.0.8-r0.apk                                  25-Oct-2024 19:11               16910
xmag-doc-1.0.8-r0.apk                              25-Oct-2024 19:11                4854
xml2rfc-3.28.1-r2.apk                              09-Sep-2025 08:47              360293
xml2rfc-pyc-3.28.1-r2.apk                          09-Sep-2025 08:47              417343
xmoto-0.6.3-r0.apk                                 03-Oct-2025 17:02             1841677
xmoto-data-0.6.3-r0.apk                            03-Oct-2025 17:02            38475775
xmoto-doc-0.6.3-r0.apk                             03-Oct-2025 17:02                6045
xmoto-lang-0.6.3-r0.apk                            03-Oct-2025 17:02              544832
xmp-4.2.0-r0.apk                                   25-Oct-2024 19:11               23741
xmp-doc-4.2.0-r0.apk                               25-Oct-2024 19:11                5445
xmpp-dns-0.2.4-r27.apk                             06-Sep-2025 16:20             1888042
xmppipe-0.16.0-r1.apk                              25-Oct-2024 19:11               16837
xone-src-0.4.5-r0.apk                              13-Sep-2025 14:13               58247
xonsh-0.19.1-r1.apk                                06-Sep-2025 16:20              598910
xonsh-pyc-0.19.1-r1.apk                            06-Sep-2025 16:20             1064515
xosview-1.24-r0.apk                                25-Oct-2024 19:11              116269
xosview-doc-1.24-r0.apk                            25-Oct-2024 19:11               12821
xpar-0.7-r0.apk                                    27-Sep-2025 14:33               27245
xpar-doc-0.7-r0.apk                                27-Sep-2025 14:33                4436
xsane-0.999-r2.apk                                 25-Oct-2024 19:11             1561201
xsane-doc-0.999-r2.apk                             25-Oct-2024 19:11                4411
xsane-lang-0.999-r2.apk                            25-Oct-2024 19:11              450898
xsecurelock-1.9.0-r1.apk                           25-Oct-2024 19:11               77174
xsecurelock-doc-1.9.0-r1.apk                       25-Oct-2024 19:11               18177
xsoldier-1.8-r2.apk                                25-Oct-2024 19:11               69869
xsoldier-doc-1.8-r2.apk                            25-Oct-2024 19:11                2679
xtensor-0.27.0-r0.apk                              25-Aug-2025 06:40              276185
xtl-0.8.0-r0.apk                                   28-Jul-2025 22:13               91891
xva-img-1.5-r0.apk                                 25-Oct-2024 19:11               17303
xvidtune-1.0.4-r0.apk                              25-Oct-2024 19:11               17422
xvidtune-doc-1.0.4-r0.apk                          25-Oct-2024 19:11                4274
xvile-9.8z_p1-r1.apk                               30-Jun-2025 07:25              795611
xvkbd-4.1-r2.apk                                   25-Oct-2024 19:11              300955
xvkbd-doc-4.1-r2.apk                               25-Oct-2024 19:11               11031
xwayland-satellite-0.7-r0.apk                      30-Aug-2025 22:13              925473
yaegi-0.16.1-r11.apk                               06-Sep-2025 16:20             7173128
yamkix-0.10.0-r1.apk                               25-Oct-2024 19:11               14570
yamkix-pyc-0.10.0-r1.apk                           25-Oct-2024 19:11               12059
yaml-language-server-1.19.1-r0.apk                 29-Sep-2025 03:49              682007
yaml-language-server-doc-1.19.1-r0.apk             29-Sep-2025 03:49                2329
yamldiff-0.3.0-r1.apk                              06-Sep-2025 16:20             1663483
yamldiff-doc-0.3.0-r1.apk                          06-Sep-2025 16:20                2302
yarn-berry-4.9.1-r0.apk                            17-Apr-2025 18:34             1064071
yaru-common-25.10.1-r0.apk                         13-Jul-2025 20:00             4024849
yaru-icon-theme-25.10.1-r0.apk                     13-Jul-2025 20:00            37043035
yaru-icon-theme-bark-25.10.1-r0.apk                13-Jul-2025 20:00             1187436
yaru-icon-theme-blue-25.10.1-r0.apk                13-Jul-2025 20:00             1228516
yaru-icon-theme-magenta-25.10.1-r0.apk             13-Jul-2025 20:00             1204143
yaru-icon-theme-mate-25.10.1-r0.apk                13-Jul-2025 20:00             1398495
yaru-icon-theme-olive-25.10.1-r0.apk               13-Jul-2025 20:00             1201618
yaru-icon-theme-prussiangreen-25.10.1-r0.apk       13-Jul-2025 20:00             1215068
yaru-icon-theme-purple-25.10.1-r0.apk              13-Jul-2025 20:00             1251482
yaru-icon-theme-red-25.10.1-r0.apk                 13-Jul-2025 20:00             1257583
yaru-icon-theme-sage-25.10.1-r0.apk                13-Jul-2025 20:00             1236614
yaru-icon-theme-viridian-25.10.1-r0.apk            13-Jul-2025 20:00             1212704
yaru-schemas-25.10.1-r0.apk                        13-Jul-2025 20:00                1893
yaru-shell-25.10.1-r0.apk                          13-Jul-2025 20:00              232209
yaru-sounds-25.10.1-r0.apk                         13-Jul-2025 20:00             1262897
yaru-theme-25.10.1-r0.apk                          13-Jul-2025 20:00              860559
yaru-theme-bark-25.10.1-r0.apk                     13-Jul-2025 20:00              781095
yaru-theme-blue-25.10.1-r0.apk                     13-Jul-2025 20:00              784433
yaru-theme-hdpi-25.10.1-r0.apk                     13-Jul-2025 20:00               75020
yaru-theme-magenta-25.10.1-r0.apk                  13-Jul-2025 20:00              780139
yaru-theme-mate-25.10.1-r0.apk                     13-Jul-2025 20:00              790479
yaru-theme-olive-25.10.1-r0.apk                    13-Jul-2025 20:00              777442
yaru-theme-prussiangreen-25.10.1-r0.apk            13-Jul-2025 20:00              777943
yaru-theme-purple-25.10.1-r0.apk                   13-Jul-2025 20:00              775087
yaru-theme-red-25.10.1-r0.apk                      13-Jul-2025 20:00              778145
yaru-theme-sage-25.10.1-r0.apk                     13-Jul-2025 20:00              781511
yaru-theme-viridian-25.10.1-r0.apk                 13-Jul-2025 20:00              778499
yass-2.5.0-r0.apk                                  25-Oct-2024 19:11            14775992
ydcv-0.7-r8.apk                                    25-Oct-2024 19:11               20505
ydcv-pyc-0.7-r8.apk                                25-Oct-2024 19:11               10829
ydcv-zsh-completion-0.7-r8.apk                     25-Oct-2024 19:11                2205
yices2-2.6.5-r0.apk                                02-Mar-2025 23:04             2269134
yices2-dev-2.6.5-r0.apk                            02-Mar-2025 23:04               42385
yices2-libs-2.6.5-r0.apk                           02-Mar-2025 23:04              836716
yoe-kiosk-browser-0_git20231118-r0.apk             25-Oct-2024 19:11                9755
yoshimi-2.3.3.3-r0.apk                             26-Mar-2025 11:51             6062873
yoshimi-doc-2.3.3.3-r0.apk                         26-Mar-2025 11:51             4740672
yosys-0.42-r1.apk                                  12-Jun-2025 15:22            19782514
yosys-dev-0.42-r1.apk                              12-Jun-2025 15:22              119669
youki-0.4.1-r0.apk                                 25-Oct-2024 19:11             1469179
youki-dbg-0.4.1-r0.apk                             25-Oct-2024 19:11                4702
youtube-viewer-3.11.6-r0.apk                       09-Jun-2025 05:05               85851
youtube-viewer-doc-3.11.6-r0.apk                   09-Jun-2025 05:05               41593
youtube-viewer-gtk-3.11.6-r0.apk                   09-Jun-2025 05:05              175716
ytmdl-2024.08.15.1-r1.apk                          14-May-2025 18:17               51372
ytmdl-bash-completion-2024.08.15.1-r1.apk          14-May-2025 18:17                2326
ytmdl-pyc-2024.08.15.1-r1.apk                      14-May-2025 18:17               79975
ytmdl-zsh-completion-2024.08.15.1-r1.apk           14-May-2025 18:17                2165
ytt-0.52.1-r0.apk                                  10-Sep-2025 14:27             4564913
yubikey-agent-0.1.6-r15.apk                        06-Sep-2025 16:20             1891735
z-1.12-r0.apk                                      25-Oct-2024 19:11                4717
z-doc-1.12-r0.apk                                  25-Oct-2024 19:11                4008
zafiro-icon-theme-1.3-r0.apk                       25-Oct-2024 19:11            20169315
zapret-0.0.0_git20220125-r1.apk                    25-Oct-2024 19:11               82172
zapret-doc-0.0.0_git20220125-r1.apk                25-Oct-2024 19:11              100826
zapret-openrc-0.0.0_git20220125-r1.apk             25-Oct-2024 19:11                2132
zapzap-6.0.1.8-r0.apk                              13-Apr-2025 23:58              111428
zapzap-pyc-6.0.1.8-r0.apk                          13-Apr-2025 23:58              128965
zarchive-0.1.2-r2.apk                              25-Oct-2024 19:11               15877
zarchive-dev-0.1.2-r2.apk                          25-Oct-2024 19:11                6916
zarchive-libs-0.1.2-r2.apk                         25-Oct-2024 19:11               23451
zarf-0.32.1-r13.apk                                06-Sep-2025 16:20            54211398
zed-0.138.4-r5.apk                                 26-Mar-2025 11:51            33783776
zfs-src-2.3.4-r0.apk                               28-Aug-2025 16:59            34498931
zfsbootmenu-2.3.0-r1.apk                           25-Oct-2024 19:11              131326
zfsbootmenu-doc-2.3.0-r1.apk                       25-Oct-2024 19:11               16175
zile-2.6.2-r1.apk                                  25-Oct-2024 19:11              121102
zile-doc-2.6.2-r1.apk                              25-Oct-2024 19:11               16186
zita-njbridge-0.4.8-r1.apk                         25-Oct-2024 19:11               29093
zita-njbridge-doc-0.4.8-r1.apk                     25-Oct-2024 19:11                5358
zita-resampler-1.11.2-r0.apk                       14-Apr-2025 21:16               24472
zita-resampler-dev-1.11.2-r0.apk                   14-Apr-2025 21:16                3334
zita-resampler-doc-1.11.2-r0.apk                   14-Apr-2025 21:16                4140
zizmor-1.14.1-r0.apk                               29-Sep-2025 10:37             3734192
zizmor-doc-1.14.1-r0.apk                           29-Sep-2025 10:37                2333
zot-2.1.8-r0.apk                                   01-Oct-2025 03:51            75782575
zot-cli-2.1.8-r0.apk                               01-Oct-2025 03:51            10188019
zot-cli-bash-completion-2.1.8-r0.apk               01-Oct-2025 03:51                6242
zot-cli-fish-completion-2.1.8-r0.apk               01-Oct-2025 03:51                4416
zot-cli-zsh-completion-2.1.8-r0.apk                01-Oct-2025 03:51                4135
zot-doc-2.1.8-r0.apk                               01-Oct-2025 03:51                9636
zot-exporter-2.1.8-r0.apk                          01-Oct-2025 03:51             3926792
zot-openrc-2.1.8-r0.apk                            01-Oct-2025 03:51                2054
zrepl-0.6.1-r14.apk                                06-Sep-2025 16:20             6744106
zrepl-bash-completion-0.6.1-r14.apk                06-Sep-2025 16:20                4570
zrepl-openrc-0.6.1-r14.apk                         06-Sep-2025 16:20                1764
zrepl-zsh-completion-0.6.1-r14.apk                 06-Sep-2025 16:20                1995
zsh-fzf-tab-0_git20220331-r1.apk                   25-Oct-2024 19:11               16434
zsh-histdb-skim-0.8.6-r0.apk                       25-Oct-2024 19:11              758593
zsh-manydots-magic-0_git20230607-r1.apk            25-Oct-2024 19:11                2932
zulip-desktop-5.12.0-r5.apk                        23-Sep-2025 03:32             2321136
zutty-0.16-r0.apk                                  12-Jan-2025 21:00              146633
zutty-doc-0.16-r0.apk                              12-Jan-2025 21:00               68161
zvbi-0.2.44-r0.apk                                 11-Mar-2025 22:54              177590
zvbi-doc-0.2.44-r0.apk                             11-Mar-2025 22:54               21553
zycore-1.5.0-r1.apk                                12-Jul-2025 06:31               21179
zycore-dev-1.5.0-r1.apk                            12-Jul-2025 06:31               38293
zycore-doc-1.5.0-r1.apk                            12-Jul-2025 06:31              443027
zydis-4.1.0-r0.apk                                 25-Oct-2024 19:11              218277
zydis-dev-4.1.0-r0.apk                             25-Oct-2024 19:11               62298
zydis-doc-4.1.0-r0.apk                             25-Oct-2024 19:11             1652044